Chapter 3 working with combinational logic

Size: px
Start display at page:

Download "Chapter 3 working with combinational logic"

Transcription

1 hapter 3 working with combinational logic ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz

2 Working with combinational logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and NORs multi-level logic, converting between Ns and ORs Time behavior Hardware description languages We are going to cover these topics in this chapter The first important topic here is formalizing the process of boolean minimization. In the last chapter, we illustrated how logic functions (or its expressions) can be simplified by boolean cubes or K-maps. Here we will look at a systematic or algorithmic approach. Then we will cover how logic design is realized by logic gates such as NN and NOR. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 2

3 esign example: two-bit comparator N N2 LT EQ GT block diagram and truth table < = > LT EQ GT we'll need a 4-variable Karnaugh map for each of the 3 output functions efore going into the formal minimization process, let s look at some examples. The first example compares two numbers, each of which is two bits long, N is and N2 is. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 3

4 esign example: two-bit comparator (cont d) K-map for LT K-map for EQ K-map for GT LT = EQ = GT = ' ' + ' + ' ' ' ' ' + ' ' + + ' ' ' + ' + ' = ( xnor ) ( xnor ) LT and GT are similar (flip / and /) EQ = ( + ) + (+ ) =(+ )(+ ) ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 4

5 esign example: two-bit comparator (cont d) two alternative implementations of EQ with and without XOR EQ EQ XNOR is implemented with at least 3 simple gates Note that an XNOR gate is complicated and requires at least 3 simpler gates ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 5

6 esign example: 2x2-bit multiplier 2 2 block diagram and truth table P P2 P4 P8 2 2 P8 P4 P2 P 4-variable K-map for each of the 4 output functions This is a 2bit by 2bit multiplier that generates 4 bit output (whose MS is P8 and LS is P). Note that 2 and 2 are higher order bits. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 6

7 esign example: 2x2-bit multiplier (cont d) 2 2 K-map for P8 P8 = 22 K-map for P4 P4 = 22' + 2' K-map for P2 K-map for P P = 2 2 P2 = 2'2 + 2' + 22' + 2' 2 ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 7

8 esign example: increment by I I2 I4 I8 block diagram and truth table This example shows how we can utilize don t care terms O O2 O4 O8 I8 I4 I2 I O8 O4 O2 O X X X X X X X X X X X X X X X X X X X X X X X X 4-variable K-map for each of the 4 output functions ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 8

9 esign example: increment by (cont d) I8 X O8 O4 I8 X I2 X X X X X I4 I8 X I O2 O8 = I4 I2 I + I8 I' O4 = I4 I2' + I4 I' + I4 I2 I O2 = I8 I2 I + I2 I' O = I' I2 O X X X X X I4 I8 X I I2 I4 X X X X X I X In O8, we will interpret a term as if it helps to minimize the number of literals for the elements of the ON-set. The other terms will be treated as. To minimize the number of literals, we have to find out the maximum size subcube. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 9 I2 I4 X X X X I

10 efinition of terms for two-level simplification Implicant single element of ON-set or -set or any group of these elements that can be combined to form a subcube (or an adjacent group) Prime implicant implicant that can't be combined with another to form a larger subcube Essential prime implicant prime implicant is essential if it alone covers an element of ON-set will participate in LL possible covers of the ON-set -set used to form prime implicants but not to make implicant essential Objective: grow implicant into prime implicants (to minimize literals per term) cover the ON-set with as few prime implicants as possible (to minimize number of product terms) So far we have examined a few examples of logic design simplification From now on, we will try to perform simplification in a systematic or algorithmic way. To do so, we first have to define some terminologies. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz

11 Examples to illustrate terms X 6 prime implicants: '', ',, '',, ' essential minimum cover: + ' + '' 5 prime implicants: essential, ',, ', '' minimum cover: 4 essential implicants ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz First of all, we have to find out all the possible prime implicants. Then we first transform the essential prime implicants to boolean expressions. Then we will try to find the minimum set of prime implicants to cover the entire on-set, called minimum cover.

12 lgorithm for two-level simplification lgorithm: minimum sum-of-products expression from a Karnaugh map Step : choose an element of the ON-set Step 2: find "maximal" groupings of s and Xs adjacent to that element consider top/bottom row, left/right column, and corner adjacencies this forms prime implicants (number of elements always a power of 2) Repeat Steps and 2 to find all prime implicants Step 3: revisit the s in the K-map if covered by single prime implicant, it is essential, and participates in final cover s covered by essential prime implicant do not need to be revisited Step 4: if there remain s not covered by essential prime implicants select the smallest number of prime implicants that cover the remaining s This is the formalized algorithm of the two-level simplification algorithm. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 2

13 lgorithm for two-level simplification (example) X X X X X X X 2 primes around ''' X X 2 primes around ' X X X X X X X X X 3 primes around ''' 2 essential primes minimum cover (3 primes) First pick any and check the prime implicants (PIs) that include the. The PIs should be considered for all the s. Then we first choose essential PIs and then find out the minimum cover, the minimum number of PIs that cover the remaining s ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 3

14 ctivity List all prime implicants for the following K-map: X X X X X X Which are essential prime implicants? What is the minimum cover? Let s start with prime implicants. mong PIs, check which are essential. Finally, we should find out the minimum cover, which is the minimum number of PIs that cover all the elements of the ON-set (including essential PIs) ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 4

15 Implementations of two-level logic Sum-of-products N gates to form product terms (minterms) OR gate to form sum Product-of-sums OR gates to form sum terms (maxterms) N gates to form product In this section, we will focus on how to implement logic networks with NN or NOR gates. gain there are two kinds of canonical forms: S-O-P and P-O-S. small circle is an inverter. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 5

16 Two-level logic using NN gates Replace minterm N gates with NN gates Place compensating inversion at inputs of OR gate s I mentioned in chapter, MOS transistors (TRs) are widely used and NN and NOR gates are easily implemented by MOS TRs. So we want to change N and OR gates into NN gates only (or NOR gates only) The simplest way is to insert double inverters between N and OR gates. Then what happens is that N becomes NN just by placing bubbles. How about the OR gate? ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 6

17 Two-level logic using NN gates (cont d) OR gate with inverted inputs is a NN gate de Morgan s: + = ( ) Two-level NN-NN network inverted inputs are not counted in a typical circuit, inversion is done once and signal distributed Recall de Morgan s Law. When inverters are passing through a gate, the gate should be changed from OR to N and vice versa. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 7

18 Two-level logic using NOR gates Replace maxterm OR gates with NOR gates Place compensating inversion at inputs of N gate In the case of P-O-S forms, the same technique is used; however, this time, the NOR gate is the target of conversion. gain, we insert two bubbles between OR and N gates and push those bubbles in the opposite directions. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 8

19 Two-level logic using NOR gates (cont d) N gate with inverted inputs is a NOR gate de Morgan s: = ( + ) Two-level NOR-NOR network inverted inputs are not counted in a typical circuit, inversion is done once and signal distributed Using de Morgan s theorem again, the N gate with inverted inputs is transformed into the NOR gate as shown in the above. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 9

20 Two-level logic using NN and NOR gates NN-NN and NOR-NOR networks de Morgan s law: ( + ) = ( ) = + written differently: + = ( ) ( ) = ( + ) In other words OR is the same as NN with complemented inputs N is the same as NOR with complemented inputs NN is the same as OR with complemented inputs NOR is the same as N with complemented inputs This slide summarizes what I explained about conversion from N-OR combination to either NN or NOR gates. ll the conversions are just variations of de morgan s theorem. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 2

21 onversion between forms onvert from networks of Ns and ORs to networks of NNs and NORs introduce appropriate inversions ("bubbles") Each introduced "bubble" must be matched by a corresponding "bubble" conservation of inversions do not alter logic function Example: N/OR to NN/NN Z NN NN NN gain, inverters inserted between gates are called bubbles. In order to make no changes in the logic function, the bubbles are always paired. Z ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 2

22 onversion between forms (cont d) Example: verify equivalence of two forms Z NN NN NN Z Z = [ ( ) ( ) ] = [ ( + ) ( + ) ] = [ ( + ) + ( + ) ] = ( ) + ( ) Let s verify the conversion rule by boolean expressions and boolean theorems ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 22

23 onversion between forms (cont d) Example: map N/OR network to NOR/NOR network Z NOR NOR Z \ \ \ \ NOR NOR NOR Z conserve "bubbles" Step Step 2 When an input variable, say, is complemented, it is denoted by \ conserve "bubbles" When a S-o-P canonical form is converted to NOR networks, we have to insert two bubbles at the input stage. nd the same thing happens at the output stage. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 23

24 onversion between forms (cont d) Example: verify equivalence of two forms Z \ \ NOR NOR Z \ \ NOR Z = { [ ( + ) + ( + ) ] } = { ( + ) ( + ) } = ( + ) + ( + ) = ( ) + ( ) This is the boolean logic proof of the conversion in the previous slide. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 24

25 Multi-level logic x = F + E F + F + E F + F + E F + G reduced sum-of-products form already simplified 6 x 3-input N gates + x 7-input OR gate (that may not even exist!) 25 wires (9 literals plus 6 internal wires) x = ( + + ) ( + E) F + G factored form not written as two-level S-o-P x 3-input OR gate, 2 x 2-input OR gates, x 3-input N gate wires (7 literals plus 3 internal wires) E F G If there are common parts in a canonical form, it may be better to use multi-level logic to reduce the number of literals and gates at the cost of delay. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 25 X

26 onversion of multi-level logic to NN gates F = ( + ) + original N-OR network \ Level Level 2 Level 3 Level 4 F introduction and conservation of bubbles \ F redrawn in terms of conventional NN gates \ \ F Normally when we add two bubbles in a wire, two levels are converted to NN gates. Here we add two bubbles between N and OR gates. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 26

27 onversion of multi-level logic to NORs F = ( + ) + introduction and conservation of bubbles original N-OR network \ \ Level Level 2 Level 3 Level 4 F F redrawn in terms of conventional NOR gates \ \ \. F \ Here we add bubbles in different position to use NOR gates. Note that the final inverter is implemented by NOR! ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 27

28 onversion between forms Example X F X F original circuit add double bubbles to invert all inputs of OR gate \ X F \ X \ X F add double bubbles to invert output of N gate insert inverters to eliminate double bubbles on a wire This slide illustrates how we can convert a combination of N and OR gates into NN and NOT gates. s mentioned before, NOT gates can be replaced by NN gates by splitting the input. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 28

29 N-OR-Invert (OI) gates OI function: three stages of logic N, OR, Invert multiple gates "packaged" as a single circuit block logical concept possible implementation Z Z N OR Invert NN NN Invert 2x2 OI gate symbol & & + 3x2 OI gate symbol & & + Here is a special case of N-OR-Inverter gates, which is a popular combination in a logic package. The reason it becomes a popular combination is that it can be implemented compactly with MOS TRs. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 29

30 OI example Why OI is more compact than NN or NOR? out = [ab+c] : invert symbol circuit or and ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 3

31 onversion to OI forms General procedure to place in OI form compute the complement of the function in sum-of-products form by grouping the s in the Karnaugh map Example: XOR implementation xor = + OI form: F = ( + ) Let s take an example to use OI to implement a logic function. Suppose we have to implement a XOR function. F = +. first of all, we consider F (note that there is an inverter at the end). F = +. So we implement F in the SOP form. & & + F ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 3

32 Summary for multi-level logic dvantages circuits may be smaller gates have smaller fan-in circuits may be faster isadvantages more difficult to design tools for optimization are not as good as for two-level analysis is more complex Multi-level logic design can reduce the number of gates or at least the number of fan-ins of gates. However, optimization is more complex. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 32

33 Time behavior of combinational networks Waveforms visualization of values carried on signal wires over time useful in explaining sequences of events (changes in value) Simulation tools are used to create these waveforms input to the simulator includes gates and their connections input stimulus, that is, input signal waveforms Some terms gate delay time for change at input to cause change at output min delay typical/nominal delay max delay careful designers design for the worst case rise time time for output to transition from low to high voltage fall time time for output to transition from high to low voltage pulse width time that an output stays high or stays low between changes The next topic of this chapter is the behavior of combinational logic as time goes by. The waveform of a system can be simulated by a tool considering gates and their connections. The output of the system is triggered by the input stimulus. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 33

34 Momentary changes in outputs an be useful pulse shaping circuits an be a problem incorrect circuit operation (glitches/hazards) Example: pulse shaping circuit = delays matter F remains high for three gate delays after changes from low to high F is not always pulse 3 gate-delays wide Let s see how a waveform changes over time in this case. Here, each gate incurs time unit delay. This time-varying behavior is utilized to make a periodic pulse. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 34

35 Oscillatory behavior nother pulse shaping circuit resistor + close switch open switch initially undefined open switch ssume that each gate delay is time units. Here, the output of NN is feedback to its input with a couple of inverters. Let s look at the waveform of. what does it look like? ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 35

36 Hardware description languages (HLs) escribe hardware at varying levels of abstraction Structural description textual replacement for schematic hierarchical composition of modules from primitives ehavioral/functional description describe what module does, not how synthesis generates circuit for module Simulation semantics This is the last topic of chapter 3. So far, we rely on boolean expressions and schematic drawings to describe logic functions. However, as a logic function gets complicated, it will become extremely hard to write and understand the logic system. Hierarchy can help to mitigate this problem; but it is not enough. HLs are proposed to deal with this problem. Using HLs, we can describe any complicated logic system. Moreover, the languages can be executed, they run like s/w. program emulates the behavior of the designed system as faithfully as possible. It radically reduces the time to design a system ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 36

37 HLs bel (circa 983) - developed by ata-i/o targeted to programmable logic devices not good for much more than state machines ISP (circa 977) - research project at MU simulation, but no synthesis Verilog (circa 985) - developed by Gateway (absorbed by adence) similar to Pascal and delay is only interaction with simulator fairly efficient and easy to write IEEE standard VHL (circa 987) - o sponsored standard similar to da (emphasis on re-use and maintainability) simulation semantics visible very general but verbose IEEE standard Verilog and VHL are the most popular HLs. This course does not aim to cover HLs in-depth. V: very high speed I ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 37

38 Verilog Supports structural and behavioral descriptions Structural explicit structure of the circuit e.g., each logic gate instantiated and connected to others ehavioral program describes input/output behavior of circuit many structural implementations could have same behavior e.g., different implementation of one oolean function We ll mostly be using behavioral Verilog rely on schematic when we want structural descriptions We will just have a quick look at Verilog. There are two approaches in describing the system (or its modules). structural description specifies the system textually in terms of logic gates behavioral description can handle more dynamic properties of the system, which is the focus in the textbook. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 38

39 Structural model module xor_gate (out, a, b); input a, b; output out; wire abar, bbar, t, t2; a and inverter inv (abar, a); inverter inv (bbar, b); and_gate and (t, a, bbar); and_gate and2 (t2, b, abar); or_gate or (out, t, t2); b inv inv bbar t abar t2 and2 or out endmodule Here is one example of a structural model description. Wire is used to declare internal variables, which correspond to internal wires. Three types of gates are already included in the Verilog language, just like a library function. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 39

40 Simple behavioral model ontinuous assignment module xor_gate (out, a, b); input a, b; output out; reg out; assign #6 out = a ^ b; simulation register - keeps track of value of signal endmodule delay from input change to output change Here is one example of a behavioral model description. reg is used to declare out, which should be kept track of by a register whenever there is a change. ssign means that out should be evaluated again whenever there is a change in a or b. ^ is x-or in Verilog. #6 means it takes 6 time units while the input change is propagated to the output value ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 4

41 Simple behavioral model always block module xor_gate (out, a, b); input a, b; output out; reg out; or b) begin #6 out = a ^ b; end endmodule specifies when block is executed ie. triggered by which signals The always block is another expression which is equivalent to the assign sentence in the previous sign indicates that this block should be evaluated whenever there is a change in a or b. The list is called the sensitivity list. Is there anything missing? ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 4

42 riving a simulation through a testbench module testbench (x, y); output x, y; reg [:] cnt; initial begin cnt = ; repeat (4) begin # cnt = cnt + ; $display ("@ time=%d, x=%b, y=%b, cnt=%b", $time, x, y, cnt); end # $finish; end 2-bit vector initial block executed only once at start of simulation print to a console assign x = cnt[]; assign y = cnt[]; endmodule directive to stop simulation testbench is the module that drives the designed system by triggering input stimuli. The repeat block will be iterated 4 times. This means x, y are the input variables of the system. The role of the initial block is to schedule the change of input variables. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 42

43 omplete simulation Instantiate stimulus component and device to test in a schematic p.44 test-bench x y a b z If we combine the testbench module with the X-or module, the Verilog progrma will do the function as shown here. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 43

44 HLs vs. programming languages (PLs) Program structure instantiation of multiple components of the same type specify interconnections between modules via schematic hierarchy of modules ssignment continuous assignment (logic always computes) propagation delay (computation takes time) timing of signals is important (when does computation have its effect) ata structures size explicitly spelled out - no dynamic structures no pointers Parallelism hardware is naturally parallel (must support multiple threads) assignments can occur in parallel (not just sequentially) Even though a hierarchical structure is common on HLs and PLs, there are some fundamental differences between HLs and PLs. For example, continuous assignment and propagation delay are not typically supported in PLs. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 44

45 HLs and combinational logic Modules - specification of inputs, outputs, bidirectional, and internal signals ontinuous assignment - a gate s output is a function of its inputs at all times (doesn t need to wait to be "called") Propagation delay- concept of time and delay in input affecting gate output omposition - connecting modules together with wires Hierarchy - modules encapsulate functional blocks HLs can describe every aspect of combinational logic systems. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 45

46 Working with combinational logic summary esign problems filling in truth tables incompletely specified functions simplifying two-level logic Realizing two-level logic NN and NOR networks networks of oolean functions and their time behavior Time behavior Hardware description languages Later combinational logic technologies more design case studies In this chapter, we talked about the formal process of logic simplification, based on the K-map technique. ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 46

47 Oct. 3 and Oct. 5: national holidays, no class ombinational Logic opyright 24, Gaetano orriello and Randy H. Katz 47

Simplification of two-level combinational logic

Simplification of two-level combinational logic ombinational logic optimization! lternate representations of oolean functions " cubes " karnaugh maps! Simplification " two-level simplification " exploiting don t cares " algorithm for simplification

More information

! Replace maxterm OR gates with NOR gates! Place compensating inversion at inputs of AND gate

! Replace maxterm OR gates with NOR gates! Place compensating inversion at inputs of AND gate Two-level logic using NN gates (cont d) ELE 4 igital Electronics Week : ombinational Logic Implementation! OR gate with inverted inputs is a NN gate " de Morgan's: ' ' = ( )'! Two-level NN-NN network "

More information

Incompletely Specified Functions with Don t Cares 2-Level Transformation Review Boolean Cube Karnaugh-Map Representation and Methods Examples

Incompletely Specified Functions with Don t Cares 2-Level Transformation Review Boolean Cube Karnaugh-Map Representation and Methods Examples Lecture B: Logic Minimization Incompletely Specified Functions with Don t Cares 2-Level Transformation Review Boolean Cube Karnaugh-Map Representation and Methods Examples Incompletely specified functions

More information

Hardware description languages

Hardware description languages Specifying digital circuits Schematics (what we ve done so far) Structural description Describe circuit as interconnected elements Build complex circuits using hierarchy Large circuits are unreadable Hardware

More information

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture)

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture) Last Lecture The basic component of a digital circuit is the MOS transistor Transistor have instrinsic resistance and capacitance, so voltage values in the circuit take some time to change ( delay ) There

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx CSE140L: Components and Design Techniques for Digital Systems Lab Verilog HDL Instructor: Mohsen Imani UC San Diego Source: Eric Crabill, Xilinx 1 Hardware description languages Used to describe & model

More information

Specifying logic functions

Specifying logic functions CSE4: Components and Design Techniques for Digital Systems Specifying logic functions Instructor: Mohsen Imani Slides from: Prof.Tajana Simunic and Dr.Pietro Mercati We have seen various concepts: Last

More information

Lecture 7. Summary of two-level combinational-logic. Ways of specifying circuits. Solving combinational design problems. Verilog versus VHDL

Lecture 7. Summary of two-level combinational-logic. Ways of specifying circuits. Solving combinational design problems. Verilog versus VHDL Lecture 7 Summary of two-level combinational-logic Logistics Homework due today Homework out today due next Wednesday First midterm a week Friday: Friday Jan 0 Will cover up to the of Multiplexers/DeMultiplexers

More information

Administrivia. CSE 370 Spring 2006 Introduction to Digital Design Lecture 9: Multilevel Logic

Administrivia. CSE 370 Spring 2006 Introduction to Digital Design Lecture 9: Multilevel Logic SE 370 Spring 2006 Introduction to igital esign Lecture 9: Multilevel Logic Last Lecture Introduction to Verilog Today Multilevel Logic Hazards dministrivia Hand in Homework #3 Homework #3 posted this

More information

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog. Hardware Description Languages: Verilog Quick History of HDLs Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 ISP (circa 1977) -

More information

University of Technology

University of Technology University of Technology Lecturer: Dr. Sinan Majid Course Title: microprocessors 4 th year Lecture 5 & 6 Minimization with Karnaugh Maps Karnaugh maps lternate way of representing oolean function ll rows

More information

Hardware Description Languages: Verilog

Hardware Description Languages: Verilog Hardware Description Languages: Verilog Verilog Structural Models (Combinational) Behavioral Models Syntax Examples CS 150 - Fall 2005 - Lecture #4: Verilog - 1 Quick History of HDLs ISP (circa 1977) -

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

DIGITAL CIRCUIT LOGIC UNIT 7: MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES

DIGITAL CIRCUIT LOGIC UNIT 7: MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES DIGITAL CIRCUIT LOGIC UNIT 7: MULTI-LEVEL GATE CIRCUITS NAND AND NOR GATES 1 iclicker Question 13 Considering the K-Map, f can be simplified as (2 minutes): A) f = b c + a b c B) f = ab d + a b d AB CD

More information

Combinational Logic & Circuits

Combinational Logic & Circuits Week-I Combinational Logic & Circuits Spring' 232 - Logic Design Page Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline.

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline. Review EECS 150 - Components and Design Techniques for Digital Systems Lec 05 Boolean Logic 94-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley Design flow

More information

Chapter 2. Boolean Expressions:

Chapter 2. Boolean Expressions: Chapter 2 Boolean Expressions: A Boolean expression or a function is an expression which consists of binary variables joined by the Boolean connectives AND and OR along with NOT operation. Any Boolean

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Chapter 3. Gate-Level Minimization. Outlines

Chapter 3. Gate-Level Minimization. Outlines Chapter 3 Gate-Level Minimization Introduction The Map Method Four-Variable Map Five-Variable Map Outlines Product of Sums Simplification Don t-care Conditions NAND and NOR Implementation Other Two-Level

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Working with Combinational Logic

Working with Combinational Logic KTZ_238576_M3.fm Page 93 Thursday, November 4, 24 2:38 PM H P T E R Working with ombinational Logic Introduction Now that we ve learned about two-level logic and had a short introduction to multilevel

More information

There are only 16 possible 2-input gates Let s examine all of them. Some we already know, others are just silly.

There are only 16 possible 2-input gates Let s examine all of them. Some we already know, others are just silly. ll the Gates There are only 6 possible 2-input gates Let s examine all of them. Some we already know, others are just silly. Do we really need all of these gates? How many of these gates can be implemented

More information

2/8/2017. SOP Form Gives Good Performance. ECE 120: Introduction to Computing. K-Maps Can Identify Single-Gate Functions

2/8/2017. SOP Form Gives Good Performance. ECE 120: Introduction to Computing. K-Maps Can Identify Single-Gate Functions University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Two-Level Logic SOP Form Gives Good Performance s you know, one can use a K-map

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 34

Contents. Chapter 3 Combinational Circuits Page 1 of 34 Chapter 3 Combinational Circuits Page of 34 Contents Contents... 3 Combinational Circuits... 2 3. Analysis of Combinational Circuits... 2 3.. Using a Truth Table... 2 3..2 Using a Boolean unction... 4

More information

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function. 1.b. Show that a 2-to-1 MUX is universal (i.e. that any Boolean expression can be implemented with

More information

IT 201 Digital System Design Module II Notes

IT 201 Digital System Design Module II Notes IT 201 Digital System Design Module II Notes BOOLEAN OPERATIONS AND EXPRESSIONS Variable, complement, and literal are terms used in Boolean algebra. A variable is a symbol used to represent a logical quantity.

More information

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University Logic Design First Stage Lecture No.6 Boolean Algebra Bawar Abid Abdalla Assistant Lecturer Software Engineering Department Koya University Outlines Boolean Operations Laws of Boolean Algebra Rules of

More information

! ISP (circa 1977) - research project at CMU " Simulation, but no synthesis

! ISP (circa 1977) - research project at CMU  Simulation, but no synthesis Hardware Description Languages: Verilog! Verilog " Structural Models " (Combinational) Behavioral Models " Syntax " Examples Quick History of HDLs! ISP (circa 1977) - research project at CMU " Simulation,

More information

Get Free notes at Module-I One s Complement: Complement all the bits.i.e. makes all 1s as 0s and all 0s as 1s Two s Complement: One s complement+1 SIGNED BINARY NUMBERS Positive integers (including zero)

More information

Introduction to Verilog. Garrison W. Greenwood, Ph.D, P.E.

Introduction to Verilog. Garrison W. Greenwood, Ph.D, P.E. Introduction to Verilog Garrison W. Greenwood, Ph.D, P.E. November 11, 2002 1 Digital Design Flow Specification Functional Design Register Transfer Level Design Circuit Design Physical Layout Production

More information

Chapter 10. case studies in sequential logic design

Chapter 10. case studies in sequential logic design Chapter. case studies in sequential logic design This is the last chapter of this course. So far, we have designed several sequential systems. What is the general procedure? The most difficult part would

More information

Gate-Level Minimization. section instructor: Ufuk Çelikcan

Gate-Level Minimization. section instructor: Ufuk Çelikcan Gate-Level Minimization section instructor: Ufuk Çelikcan Compleity of Digital Circuits Directly related to the compleity of the algebraic epression we use to build the circuit. Truth table may lead to

More information

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates.

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates. Review: minimum sum-of-products expression from a Karnaugh map EECS 5 - Components and Design Techniques for Digital Systems Lec 7 PLAs and FSMs 9/2- David Culler Electrical Engineering and Computer Sciences

More information

Digital Design. Chapter 4. Principles Of. Simplification of Boolean Functions

Digital Design. Chapter 4. Principles Of. Simplification of Boolean Functions Principles Of Digital Design Chapter 4 Simplification of Boolean Functions Karnaugh Maps Don t Care Conditions Technology Mapping Optimization, Conversions, Decomposing, Retiming Boolean Cubes for n =,

More information

Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates Boolean Algebra and Logic Gates Binary logic is used in all of today's digital computers and devices Cost of the circuits is an important factor Finding simpler and cheaper but equivalent circuits can

More information

(Refer Slide Time 6:48)

(Refer Slide Time 6:48) Digital Circuits and Systems Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Lecture - 8 Karnaugh Map Minimization using Maxterms We have been taking about

More information

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER Gate-Level Minimization BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com Complexity of Digital Circuits Directly related to the complexity of the algebraic expression we use to

More information

Combinational Logic Circuits Part III -Theoretical Foundations

Combinational Logic Circuits Part III -Theoretical Foundations Combinational Logic Circuits Part III -Theoretical Foundations Overview Simplifying Boolean Functions Algebraic Manipulation Karnaugh Map Manipulation (simplifying functions of 2, 3, 4 variables) Systematic

More information

2.6 BOOLEAN FUNCTIONS

2.6 BOOLEAN FUNCTIONS 2.6 BOOLEAN FUNCTIONS Binary variables have two values, either 0 or 1. A Boolean function is an expression formed with binary variables, the two binary operators AND and OR, one unary operator NOT, parentheses

More information

A B AB CD Objectives:

A B AB CD Objectives: Objectives:. Four variables maps. 2. Simplification using prime implicants. 3. "on t care" conditions. 4. Summary.. Four variables Karnaugh maps Minterms A A m m m3 m2 A B C m4 C A B C m2 m8 C C m5 C m3

More information

Gate-Level Minimization

Gate-Level Minimization Gate-Level Minimization ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines The Map Method

More information

Slide Set 5. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary

Slide Set 5. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary Slide Set 5 for ENEL 353 Fall 207 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary Fall Term, 207 SN s ENEL 353 Fall 207 Slide Set 5 slide

More information

Combinational Logic Circuits

Combinational Logic Circuits Chapter 2 Combinational Logic Circuits J.J. Shann (Slightly trimmed by C.P. Chung) Chapter Overview 2-1 Binary Logic and Gates 2-2 Boolean Algebra 2-3 Standard Forms 2-4 Two-Level Circuit Optimization

More information

Experiment 4 Boolean Functions Implementation

Experiment 4 Boolean Functions Implementation Experiment 4 Boolean Functions Implementation Introduction: Generally you will find that the basic logic functions AND, OR, NAND, NOR, and NOT are not sufficient to implement complex digital logic functions.

More information

Simplification of Boolean Functions

Simplification of Boolean Functions COM111 Introduction to Computer Engineering (Fall 2006-2007) NOTES 5 -- page 1 of 5 Introduction Simplification of Boolean Functions You already know one method for simplifying Boolean expressions: Boolean

More information

Summary. Boolean Addition

Summary. Boolean Addition Summary Boolean Addition In Boolean algebra, a variable is a symbol used to represent an action, a condition, or data. A single variable can only have a value of or 0. The complement represents the inverse

More information

Gate Level Minimization Map Method

Gate Level Minimization Map Method Gate Level Minimization Map Method Complexity of hardware implementation is directly related to the complexity of the algebraic expression Truth table representation of a function is unique Algebraically

More information

Combinational Logic Circuits

Combinational Logic Circuits Chapter 3 Combinational Logic Circuits 12 Hours 24 Marks 3.1 Standard representation for logical functions Boolean expressions / logic expressions / logical functions are expressed in terms of logical

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Overview Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard

More information

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits Software Engineering 2DA4 Slides 2: Introduction to Logic Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital

More information

L3: Representations of functions

L3: Representations of functions L3: Representations of functions Representations of Boolean functions Boolean expression Two level sum of product form, factorized form Truth tables Karnaugh maps Cubes (MIN,MAX) notation positional cube

More information

Combinational Logic II

Combinational Logic II Combinational Logic II Ranga Rodrigo July 26, 2009 1 Binary Adder-Subtractor Digital computers perform variety of information processing tasks. Among the functions encountered are the various arithmetic

More information

9/10/2016. ECE 120: Introduction to Computing. The Domain of a Boolean Function is a Hypercube. List All Implicants for One Variable A

9/10/2016. ECE 120: Introduction to Computing. The Domain of a Boolean Function is a Hypercube. List All Implicants for One Variable A University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing To Simplify, Write Function as a Sum of Prime Implicants One way to simplify a

More information

Digital Logic Design (3)

Digital Logic Design (3) Digital Logic Design (3) ENGG1015 1 st Semester, 2010 Dr. Kenneth Wong Dr. Hayden So Department of Electrical and Electronic Engineering Last lecture ll logic functions can be represented as (1) truth

More information

Chapter 2. Boolean Algebra and Logic Gates

Chapter 2. Boolean Algebra and Logic Gates Chapter 2. Boolean Algebra and Logic Gates Tong In Oh 1 Basic Definitions 2 3 2.3 Axiomatic Definition of Boolean Algebra Boolean algebra: Algebraic structure defined by a set of elements, B, together

More information

Module -7. Karnaugh Maps

Module -7. Karnaugh Maps 1 Module -7 Karnaugh Maps 1. Introduction 2. Canonical and Standard forms 2.1 Minterms 2.2 Maxterms 2.3 Canonical Sum of Product or Sum-of-Minterms (SOM) 2.4 Canonical product of sum or Product-of-Maxterms(POM)

More information

Chap-2 Boolean Algebra

Chap-2 Boolean Algebra Chap-2 Boolean Algebra Contents: My name Outline: My position, contact Basic information theorem and postulate of Boolean Algebra. or project description Boolean Algebra. Canonical and Standard form. Digital

More information

Points Addressed in this Lecture. Standard form of Boolean Expressions. Lecture 4: Logic Simplication & Karnaugh Map

Points Addressed in this Lecture. Standard form of Boolean Expressions. Lecture 4: Logic Simplication & Karnaugh Map Points Addressed in this Lecture Lecture 4: Logic Simplication & Karnaugh Map Professor Peter Cheung Department of EEE, Imperial College London Standard form of Boolean Expressions Sum-of-Products (SOP),

More information

Where We Are. Quick History Lesson. Lecture 8: Combinational Verilog. Specifying Circuits. Last lecture: Minimization with K!maps

Where We Are. Quick History Lesson. Lecture 8: Combinational Verilog. Specifying Circuits. Last lecture: Minimization with K!maps Lecture 8: Combinational Verilog CSE 370, utumn 2007 enjamin Ylvisaker Where We re Last lecture: Minimization with K!maps This lecture: Combinational Verilog Next lecture: ROMs, PLs and PLs, oh my! Homework

More information

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design Topics of this Slideset CS429: Computer Organization and rchitecture Dr. Bill Young Department of Computer Science University of Texas at ustin Last updated: July 5, 2018 at 11:55 To execute a program

More information

Digital Logic Design. Outline

Digital Logic Design. Outline Digital Logic Design Gate-Level Minimization CSE32 Fall 2 Outline The Map Method 2,3,4 variable maps 5 and 6 variable maps (very briefly) Product of sums simplification Don t Care conditions NAND and NOR

More information

1. Fill in the entries in the truth table below to specify the logic function described by the expression, AB AC A B C Z

1. Fill in the entries in the truth table below to specify the logic function described by the expression, AB AC A B C Z CS W3827 05S Solutions for Midterm Exam 3/3/05. Fill in the entries in the truth table below to specify the logic function described by the expression, AB AC A B C Z 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2.

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now? Outline EECS 5 - Components and Design Techniques for Digital Systems Lec Putting it all together -5-4 David Culler Electrical Engineering and Computer Sciences University of California Berkeley Top-to-bottom

More information

ECE UMass, Amherst. Verilog tutorial

ECE UMass, Amherst. Verilog tutorial ECE 232 - UMass, Amherst Verilog tutorial 1. In this tutorial, we are going to design and implement a 2-bit comparator in Verilog and simulate it using the service provided on www.edaplayground.com. In

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Minimization CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Administrative

More information

Slides for Lecture 15

Slides for Lecture 15 Slides for Lecture 5 ENEL 353: Digital Circuits Fall 203 Term Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary October, 203 ENEL 353 F3 Section

More information

DKT 122/3 DIGITAL SYSTEM 1

DKT 122/3 DIGITAL SYSTEM 1 Company LOGO DKT 122/3 DIGITAL SYSTEM 1 BOOLEAN ALGEBRA (PART 2) Boolean Algebra Contents Boolean Operations & Expression Laws & Rules of Boolean algebra DeMorgan s Theorems Boolean analysis of logic circuits

More information

Optimized Implementation of Logic Functions

Optimized Implementation of Logic Functions June 25, 22 9:7 vra235_ch4 Sheet number Page number 49 black chapter 4 Optimized Implementation of Logic Functions 4. Nc3xe4, Nb8 d7 49 June 25, 22 9:7 vra235_ch4 Sheet number 2 Page number 5 black 5 CHAPTER

More information

Lecture 7 Logic Simplification

Lecture 7 Logic Simplification Lecture 7 Logic Simplification Simplification Using oolean lgebra simplified oolean expression uses the fewest gates possible to implement a given expression. +(+)+(+) Simplification Using oolean lgebra

More information

CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey

CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey 2. Introduction Logic gates are connected together to produce a specified output for certain specified combinations of input

More information

Homework. Update on website issue Reading: Chapter 7 Homework: All exercises at end of Chapter 7 Due 9/26

Homework. Update on website issue Reading: Chapter 7 Homework: All exercises at end of Chapter 7 Due 9/26 Homework Update on website issue Reading: hapter 7 Homework: All exercises at end of hapter 7 Due 9/26 opyright c 22 28 UMaine omputer Science Department / 2 OS 4: Foundations of omputer Science Karnaugh

More information

Chapter 2: Combinational Systems

Chapter 2: Combinational Systems Uchechukwu Ofoegbu Chapter 2: Combinational Systems Temple University Adapted from Alan Marcovitz s Introduction to Logic and Computer Design Riddle Four switches can be turned on or off. One is the switch

More information

COMP combinational logic 1 Jan. 18, 2016

COMP combinational logic 1 Jan. 18, 2016 In lectures 1 and 2, we looked at representations of numbers. For the case of integers, we saw that we could perform addition of two numbers using a binary representation and using the same algorithm that

More information

EECS 270 Midterm Exam

EECS 270 Midterm Exam EECS 270 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1 /11 2 /4

More information

Supplement to. Logic and Computer Design Fundamentals 4th Edition 1

Supplement to. Logic and Computer Design Fundamentals 4th Edition 1 Supplement to Logic and Computer esign Fundamentals 4th Edition MORE OPTIMIZTION Selected topics not covered in the fourth edition of Logic and Computer esign Fundamentals are provided here for optional

More information

Announcements. Chapter 2 - Part 1 1

Announcements. Chapter 2 - Part 1 1 Announcements If you haven t shown the grader your proof of prerequisite, please do so by 11:59 pm on 09/05/2018 (Wednesday). I will drop students that do not show us the prerequisite proof after this

More information

SWITCHING THEORY AND LOGIC CIRCUITS

SWITCHING THEORY AND LOGIC CIRCUITS SWITCHING THEORY AND LOGIC CIRCUITS COURSE OBJECTIVES. To understand the concepts and techniques associated with the number systems and codes 2. To understand the simplification methods (Boolean algebra

More information

VLSI System Design Part II : Logic Synthesis (1) Oct Feb.2007

VLSI System Design Part II : Logic Synthesis (1) Oct Feb.2007 VLSI System Design Part II : Logic Synthesis (1) Oct.2006 - Feb.2007 Lecturer : Tsuyoshi Isshiki Dept. Communications and Integrated Systems, Tokyo Institute of Technology isshiki@vlsi.ss.titech.ac.jp

More information

Synthesizable Verilog

Synthesizable Verilog Synthesizable Verilog Courtesy of Dr. Edwards@Columbia, and Dr. Franzon@NCSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Design Methodology Structure and Function (Behavior) of a Design HDL

More information

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER Boolean Algebra BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com 5 Boolean Algebra /2 A set of elements B There exist at least two elements x, y B s. t. x y Binary operators: +

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information

Digital Logic Lecture 7 Gate Level Minimization

Digital Logic Lecture 7 Gate Level Minimization Digital Logic Lecture 7 Gate Level Minimization By Ghada Al-Mashaqbeh The Hashemite University Computer Engineering Department Outline Introduction. K-map principles. Simplification using K-maps. Don t-care

More information

Chapter 6. Logic Design Optimization Chapter 6

Chapter 6. Logic Design Optimization Chapter 6 Chapter 6 Logic Design Optimization Chapter 6 Optimization The second part of our design process. Optimization criteria: Performance Size Power Two-level Optimization Manipulating a function until it is

More information

Hardware Description Languages (HDLs) Verilog

Hardware Description Languages (HDLs) Verilog Hardware Description Languages (HDLs) Verilog Material from Mano & Ciletti book By Kurtulus KULLU Ankara University What are HDLs? A Hardware Description Language resembles a programming language specifically

More information

Lecture 22: Implementing Combinational Logic

Lecture 22: Implementing Combinational Logic 8 Lecture 22: Implementing ombinational Logic S 5 L22 James. Hoe Dept of EE, MU April 9, 25 Today s Goal: Design some combinational logic circuits Announcements: Read Rizzoni 2.4 and 2.5 HW 8 due today

More information

At this point in our study of digital circuits, we have two methods for representing combinational logic: schematics and truth tables.

At this point in our study of digital circuits, we have two methods for representing combinational logic: schematics and truth tables. HPTER FIVE oolean lgebra 5.1 Need for oolean Expressions t this point in our study of digital circuits, we have two methods for representing combinational logic: schematics and truth tables. 0 0 0 1 0

More information

Programmable Logic Devices

Programmable Logic Devices EG igital Logic Fundamentals /4/6 EG igital Logic Fundamentals Programmable Logic evices aback Izadi ivision of Engineering Programs bai@engr.newpaltz.edu Introduction Fuse Link E = blown fuse link E =

More information

Design of Digital Circuits Lecture 6: Combinational Logic, Hardware Description Lang. & Verilog. Prof. Onur Mutlu ETH Zurich Spring March 2018

Design of Digital Circuits Lecture 6: Combinational Logic, Hardware Description Lang. & Verilog. Prof. Onur Mutlu ETH Zurich Spring March 2018 Design of Digital Circuits Lecture 6: Combinational Logic, Hardware Description Lang. & Verilog Prof. Onur Mutlu ETH Zurich Spring 2018 9 March 2018 Required Lecture Video Why study computer architecture?

More information

Experiment 3: Logic Simplification

Experiment 3: Logic Simplification Module: Logic Design Name:... University no:.. Group no:. Lab Partner Name: Mr. Mohamed El-Saied Experiment : Logic Simplification Objective: How to implement and verify the operation of the logical functions

More information

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2 Synthesis The Y-diagram Revisited Structural Behavioral More abstract designs Physical CAD for VLSI 2 1 Structural Synthesis Behavioral Physical CAD for VLSI 3 Structural Processor Memory Bus Behavioral

More information

Last Name Student Number. Last Name Student Number

Last Name Student Number. Last Name Student Number University of Toronto Faculty of Applied Science and Engineering Department of Electrical and Computer Engineering Midterm Examination ECE 241F - Digital Systems Wednesday October 13, 2004, 6:00pm [5]

More information

(Refer Slide Time: 00:01:53)

(Refer Slide Time: 00:01:53) Digital Circuits and Systems Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology Madras Lecture - 36 Design of Circuits using MSI Sequential Blocks (Refer Slide Time:

More information

ece5745-pla-notes.txt

ece5745-pla-notes.txt ece5745-pla-notes.txt ========================================================================== Follow up on PAL/PROM/PLA Activity ==========================================================================

More information

Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions

Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions 1. Convert the following SOP expression to an equivalent POS expression. 2. Determine the values of A, B, C, and D that make

More information

Lecture 5. Chapter 2: Sections 4-7

Lecture 5. Chapter 2: Sections 4-7 Lecture 5 Chapter 2: Sections 4-7 Outline Boolean Functions What are Canonical Forms? Minterms and Maxterms Index Representation of Minterms and Maxterms Sum-of-Minterm (SOM) Representations Product-of-Maxterm

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

Digital Circuits ECS 371

Digital Circuits ECS 371 Digital Circuits ECS 37 Dr. Prapun Suksompong prapun@siit.tu.ac.th Lecture 7 Office Hours: KD 36-7 Monday 9:-:3, :3-3:3 Tuesday :3-:3 Announcement HW2 posted on the course web site Chapter 4: Write down

More information

CMPE223/CMSE222 Digital Logic

CMPE223/CMSE222 Digital Logic CMPE223/CMSE222 Digital Logic Optimized Implementation of Logic Functions: Strategy for Minimization, Minimum Product-of-Sums Forms, Incompletely Specified Functions Terminology For a given term, each

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

LAB #1 BASIC DIGITAL CIRCUIT

LAB #1 BASIC DIGITAL CIRCUIT LAB #1 BASIC DIGITAL CIRCUIT OBJECTIVES 1. To study the operation of basic logic gates. 2. To build a logic circuit from Boolean expressions. 3. To introduce some basic concepts and laboratory techniques

More information