Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs

Size: px
Start display at page:

Download "Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs"

Transcription

1 Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs PhD work of Mickael Dardaillon Mickaël Dardaillon, Kevin Marquet (Citi), Tanguy Risset (Citi), Jérôme Martin (Cea Leti), Henri-Pierre Charles (CEA List) June 24th, 2016

2 2 / 39 Evolution of telecommunication protocols data rate (kbps) G 3G 4G 2G 3G Wi-Fi Bluetooth Wi-Fi Bluetooth year

3 2 / 39 Evolution of telecommunication protocols data rate (kbps) G 3G 4G SDR Wi-Fi Bluetooth year

4 3 / 39 4G LTE-Advanced: Downlink 1 frame (10 ms) 1 sub-frame (1 ms)

5 3 / 39 4G LTE-Advanced: Downlink 1 frame (10 ms) 1 sub-frame (1 ms) subcarriers (20 MHz) OFDM Symbols Control Data User 1 User 2 User 3

6 3 / 39 4G LTE-Advanced: Downlink 1 frame (10 ms) 1 sub-frame (1 ms) MIMO: 4 2 antennas 2048 subcarriers (20 MHz)... Control Data User 1 LTE throughput: 1.4 Gbps LTE-Advanced: 7 Gbps Latency: 2 ms Power budget: 500 mw User 2 User 3 14 OFDM Symbols

7 4 / 39 Context What is an SDR software?

8 Context What is an SDR software? Baseband processing in software ZigBee... LTE Advanced Constraints Computing power GFLOPS Reconfiguration time < 100µs Consumption < 500mW RF Frontend 1 FFT CFO correction AGC + synchronization CFO estimation channel estimation MIMO decoding Demodulation RF Frontend 2 FFT CFO correction Architecture independent SDR Deinterleaving 4 / 39

9 5 / 39 Context What is an SDR software? What is an SDR hardware platform?

10 Context What is an SDR software? What is an SDR hardware platform? oftware-defined Radio 2619 P words wide Vector memory 1wordwide EVP16? VLIW Vector Processor ector register file Scalar RF Vector FU Scalar FU ric vector-processor architecture. Program memory VLIW controller ACU 16 words wide 1wordwide Vector memory 16 vector registers 32 scalar regs. Load/store unit Load/store U ALU ALU MAC/shift unit MAC U Shuffleunit 8wordswide 1wordwide Intravector unit ector memory Code generation unit AXU vectorregisters 4scalarregs. Figure 8: The EVP architecture. 5 / 39

11 ed i Context Programming Model for SDR Micro-Scheduling Experimentations FU FU FUon Magali FU RF RF RF RF wit Conclusion the vid Context mo FU FU FU FU RF RF RF RF of f acc FU FU FU FU the RF RF RF RF blo CGA View What is an SDR software? What is an SDR hardware platform? EVP16? VLIW Vector Processor SB3500? DSP Control Processor Configuration Memor [FIG4] IMEC s ADRES processor in the BEAR platform. icache SBX Memory IO and Other Interfaces SBX Complex HSN Memory Subsystem IO Subsystem Buses Core 3 SHB HSN 4 AMBA ARM DMA Device Controller [FIG5] Sandbridge SB3500 platform architecture. Core 2 SHB SHB Core 1 Each of the three Sandblaster cores has support for icache SBX Memory IO and Other Interfaces IO and Other Interfaces SBX Memory icache VL CGA Section plat allo diff the ST- PRO The con five ope on bot ism mu the how por AR MIC ARM plat sor bas con turbo coprocessor for 5 / 39

12 5 / 39 Context What is an SDR software? What is an SDR hardware platform? EVP16? MOD mod DMA dma4 DSP dsp4 OFDM ofdm3 OFDM ofdm4 VLIW Vector Processor SB3500? DSP Control Processor Magali? Configurable Units NoC DMA dma1 DSP dsp1 OFDM ofdm1 OFDM ofdm2 ARM arm DMA dma2 DSP dsp DSP dsp3 DMA dma3 DMA dma5 DSP dsp5 DEMOD demod LDPC ldpc TURBO turbo WIFLEX wiflex

13 5 / 39 Context What is an SDR software? What is an SDR hardware platform? EVP16? VLIW Vector Processor SB3500? DSP Control Processor Magali? Configurable Units NoC... No unified hardware platform model for SDR. Problem Statement: how to program and compile a telecommunication protocol to an heterogeneous MPSoC?

14 Magali SDR LTE demonstrator [Clermidy et al., 09] Power consumption: 231mW 6 / 39

15 Magali SDR DSP dsp4 DSP dsp1 DSP dsp3 DSP dsp5 DSP dsp2 LTE demonstrator [Clermidy et al., 09] Power consumption: 231mW 6 / 39

16 Magali SDR MOD mod DSP dsp4 OFDM ofdm3 OFDM ofdm4 DSP dsp1 LDPC ldpc OFDM ofdm1 DSP dsp3 DSP dsp5 TURBO turbo OFDM ofdm2 DSP dsp2 DEMOD demod WIFLEX wiflex LTE demonstrator [Clermidy et al., 09] Power consumption: 231mW 6 / 39

17 Magali SDR MOD mod DMA dma4 DSP dsp4 OFDM ofdm3 OFDM ofdm4 DSP dsp1 DMA dma5 LDPC ldpc DMA dma1 OFDM ofdm1 DMA dma2 DSP dsp3 DSP dsp5 TURBO turbo OFDM ofdm2 DSP dsp2 DMA dma3 DEMOD demod WIFLEX wiflex LTE demonstrator [Clermidy et al., 09] Power consumption: 231mW 6 / 39

18 7 / 39 Outline Context SDR software? Programming Model for SDR Dataflow Model of Computation Input Format Dataflow Refinement and Buffer Verification Mapping and Scheduling Micro-Scheduling Experimentations on Magali Code Generation Experimental Results Conclusion

19 8 / 39 State of the Art in SDR Programming Imperative Concurrent Platform ExoCHI [Wang et al., 07] BEAR [Derudder et al., 09] Language OpenMP + C Matlab + C Dataflow Platform Simulink LabView GNU Radio RVC-CAL [Lucarz et al., 08] DiplodocusDF [Gonzalez-Pina et al., 12] MAPS [Castrillon et al., 13] Language Python + C XML + C UML C like

20 9 / 39 Static Dataflow (SDF) [Lee et al., 87] Src Decod 1 Ctrl

21 10 / 39 Phase Approach with Static Dataflow Src Decod 1 Ctrl Src Decod Sink... Src Decod Sink Src Decod Sink

22 11 / 39 Dynamic Dataflow (DDF) [Buck, 93] SDF Analysable KPN DDF Expressive Kahn Process Network (KPN) [Kahn, 74]

23 11 / 39 Dynamic Dataflow (DDF) [Buck, 93] SDF MCDF SADF PiMM SPDF BPDF KPN DDF Analysable Expressive Scenario Aware DataFlow (SADF) [Theelen et al., 06] Mode Controlled DataFlow (MCDF) [Moreira et al., 12] Schedulable Parametric DataFlow (SPDF) [Fradet et al., 12] Parameterized and Interfaced dataflow Meta-Model (PiMM) [Desnos et al., 13] Boolean Parametric DataFlow (BPDF) [Bebelis et al., 13] Kahn Process Network (KPN) [Kahn, 74]

24 12 / 39 Schedulable Parametric DataFlow (SPDF) Src Ctrl Decod 1 [Fradet et al., 12] Model of Computation Analysis Quasi-Static Scheduling

25 12 / 39 Schedulable Parametric DataFlow (SPDF) Src Ctrl Decod 1 set p[1] p 10 p 10 Decod 2 Sink [Fradet et al., 12] Model of Computation Analysis Quasi-Static Scheduling...

26 Parametric DataFlow Format (PaDaF) Src Ctrl Decod 1 10 set p[1] p p 10 Decod 2 Sink Actor specification class Decod: public Actor{ PortIn<int> in; PortOut<int> out; ParamIn p; void compute() { [...] out.push(res, p); Graph specification Src src; Decod decod[2]; [...] for(int i=0; i<2; i++) { decod[i].in <= src.out[i]; 13 / 39

27 Front End Implementation Front End PaDaF (C++) C++ Front End (CLang) LLVM IR Graph Construction Graph + LLVM IR SDR Programming Model Propose SPDF for SDR C++ input format [IWCMC 12, IGI 14] Front End Based on LLVM framework Derived from SystemC analysis [Marquet et al., 10] Static graph structure [CASES 14] 14 / 39

28 15 / 39 Outline Context SDR software? Programming Model for SDR Dataflow Model of Computation Input Format Dataflow Refinement and Buffer Verification Mapping and Scheduling Micro-Scheduling Experimentations on Magali Code Generation Experimental Results Conclusion

29 16 / 39 SDF Scheduling Decod 1 Ctrl Src Decod Sink Iteration vector: (Src; Decod 1 ; Ctrl; (Decod 2 ) 10 ; (Sink) 5)

30 17 / 39 SPDF Scheduling [Fradet et al., 12] Src Ctrl Decod 1 10 set p[1] p p 10 Decod 2 Sink Iteration vector: (Src; Decod 1 ; Ctrl; (Decod 2 ) 10 ; (Sink) p)

31 18 / 39 SPDF Mapping Src Decod Decod 2 p p 1 10 Ctrl set p[1] Sink DEMOD demod ARM arm DMA dma1 DMA dma2

32 18 / 39 SPDF Mapping Src dma Decod 1 10 Decod 2 p p 1 10 arm Ctrl set p[1] Sink demod dma2 DEMOD demod ARM arm DMA dma1 DMA dma2

33 19 / 39 SPDF Quasi-Static Scheduling Src dma Decod 1 10 Decod 2 p p 1 10 arm Ctrl set p[1] Sink demod dma2 S(dma1) = (Src) S(arm) = (Ctrl; set(p)) S(demod) = ( Decod 1 ; get(p); (Decod 2 ) 10) S(dma2) = (get(p); (Sink) p )

34 20 / 39 SPDF Symbolic Execution dma1 Src demod arm D1 (D2) 10 Ctrl dma2 (Sink) p Time S(dma1) = (Src) S(arm) = (Ctrl; set(p)) S(demod) = ( Decod 1 ; get(p); (Decod 2 ) 10) S(dma2) = (get(p); (Sink) p )

35 21 / 39 SPDF Buffer Sizing arm Src dma1 [10] [100] 10 1 [1] Decod 1 1 p 10 Decod 2 p[10*p max ] 10 Ctrl set p[1] Sink demod dma2 Problem: overestimates buffer size e.g. Magali FFT size: 2048 Buffer size: 16

36 22 / 39 SPDF Model Refinement arm Src dma [10] [10] 10 Decod Decod 2 p [1] 1 p [p max ] 10 Ctrl set p[1] Sink demod dma2 Src::compute() { [...] out[1].push(ctrl, 10); for(int i=0; i<10; i++) out[2].push(data[i],10); } Idea: model each individual data communication Micro-Scheduling

37 23 / 39 Micro-Scheduling: an Example dma1 demod arm Src D1 (D2) 10 Ctrl dma2 µs(src) = µs(d 2 ) = µs(sink) = (Sink) p Time ) (push Src,D1 (10); push Src,D2 (10) 10 ) (pop Src,D2 (10); push D2,Sink ) (pop (p) D2,Sink (1)10

38 24 / 39 Buffer Sizing Verification How to verify buffer sizes using micro-schedules?

39 Buffer Sizing Verification How to verify buffer sizes using micro-schedules? Proposed Verification Method Based on Model Checking Derived from buffer minimization [Geilen et al., 05] Model Schedule Buffer sizes + Micro-Schedule + Parameter values Model Checker SPIN Check for deadlocks 24 / 39

40 Micro-Scheduling Implementation Front End PaDaF (C++) C++ Front End (CLang) LLVM IR Graph Construction Back End Mapping Scheduling Buffer Verification (SPIN) Micro-Scheduling SPDF model refinement Sequential communications Buffer Verification Model checking Model generation [CASES 14] Graph + LLVM IR 25 / 39

41 26 / 39 Outline Context SDR software? Programming Model for SDR Dataflow Model of Computation Input Format Dataflow Refinement and Buffer Verification Mapping and Scheduling Micro-Scheduling Experimentations on Magali Code Generation Experimental Results Conclusion

42 Code Generation Graph + LLVM IR OFDM DEMOD TURBO DSP DMA ARM code generation communication code generation control code generation Control code (C) ARM code generation MOD DMA DSP OFDM OFDM mod DSP dsp1 dma4 ARM arm dsp ofdm3 DMA dma5 ofdm4 LDPC ldpc Magali code (ASM) DMA OFDM DMA DSP DSP TURBO dma1 ofdm1 dma2 dsp3 dsp5 turbo OFDM DSP DMA DEMOD WIFLEX ofdm2 dsp2 dma3 demod wiflex 27 / 39

43 28 / 39 Benchmarks using LTE OFDM: compilation Src FFT Defram Sink dma1 ofdm1 dma3 Demodulation: communications Src Word 1200 Demap Deinter 900 dma2 dma3 57 Sink dma4 Src Bit Deinter Depunct Turbo Decod 57 dma1 demod turbo

44 29 / 39 Benchmarks using LTE Parametric Demodulation: parameter Src Bit Turbo 4 Deinter Depunct Decod dma Split Split Demap p Demap Word 60 Deinter 300p 300p Word 300p Deinter 8 57 arm Control set p[1] p Sink dma3 dma4 Src p Bit Deinter 300p Depunct Turbo Decod 57 dma1 demod turbo

45 30 / 39 Results: Estimated Development Time Compiler Development Front-End : 4 man-months Back-End : 8 man-months Native PaDaF Application C / ASM (#lines) (hours) C++ (#lines) (hours) OFDM 150 / Demodulation 300 / Param. Demod. 500 / Takeaway Message: Reduces development time

46 Results: Buffer Verification Time Evaluation framework 2.4 GHz Intel Core i5, 8 GB RAM, OS X SPIN Model Checker Application States Transitions Exec. Time (s) OFDM Demodulation Param. Demod Takeaway Message: Reduces development time, improves verification 31 / 39

47 Results: Execution Time Evaluation framework SystemC TLM based on 65 nm CMOS implementation ARM code run on QEMU Virtual Machine Application Native Generated (µs) (µs) OFDM (+13%) Demodulation (+57%) Param. Demod (+33%) Takeaway Message: Reduces development time, improves verification 32 / 39

48 Execution Model Src FFT Defram Sink dma1 ofdm1 dma3 Phase Approach arm dma1 ofdm1 dma3 Time Distributed arm dma1 ofdm1 dma3 Time 33 / 39

49 33 / 39 Execution Model Phase Approach arm dma1 ofdm1 dma3 25 µs 37 µs 16 µs 21 µs Time Distributed arm dma1 ofdm1 dma3 25 µs 74 µs 23 µs 25 µs Time

50 Results: Execution Time Evaluation framework SystemC TLM based on 65 nm CMOS implementation ARM code run on QEMU Virtual Machine Application Native Generated Optimized (µs) (µs) (µs) OFDM (+13%) 149 (+0%) Demodulation (+57%) 180 (+0%) Param. Demod (+33%) 288 (-31%) Takeaway Message: Reduces development time, improves verification, maintains performances 34 / 39

51 35 / 39 Back End Implementation Front End PaDaF (C++) C++ Front End (CLang) LLVM IR Graph Construction Graph + LLVM IR Back End Mapping Scheduling Buffer Verification (SPIN) Code Generation MPSoC Code (ASM) Magali Support Computation Communication Control LTE Experimentation Performance close to native Buffer verification Central controller [ComPAS 14, CASES 14]

52 36 / 39 Outline Context SDR software? Programming Model for SDR Dataflow Model of Computation Input Format Dataflow Refinement and Buffer Verification Mapping and Scheduling Micro-Scheduling Experimentations on Magali Code Generation Experimental Results Conclusion

53 37 / 39 Conclusion A complete research experience between Telecommunication, SoC programming sqand Compilation. Mickael Dardaillon is currently working at National Instruments (Austin) on the compilation Parametric Dataflow in LabView-FPGA (mickael.dardaillon@gmail.com) CEA has stopped the activities on Magali (and is, in general, less involved in telecommunication chips because of ST-microelectronics strategy). There are many open questions: How to program FPGA-based SDR machines? How to handle fast dynamic reconfiguration in heterogenous MP-SoC?

54 38 / 39 Question? Front End PaDaF (C++) C++ Front End (CLang) LLVM IR Back End Mapping Scheduling Buffer Verification (SPIN) Programming Model PaDaF Front End Micro-Scheduling Buffer verification Model checking Graph Construction Graph + LLVM IR Code Generation MPSoC Code (ASM) Experimentations Magali Back End LTE experiments

55 Perspectives On dataflow programming Compiler Runtime Front End PaDaF (C++) C++ Front End (CLang) Back End Mapping Scheduling LLVM IR Buffer Verification (SPIN) Graph Construction Code Generation Graph + LLVM IR MPSoC Code (ASM) 39 / 39

56 39 / 39 Perspectives On dataflow programming On heterogeneous MPSoC Future of dedicated platforms Development on such platforms data rate (kbps) G 3G 4G Wi-Fi Bluetooth year

57 Perspectives On dataflow programming On heterogeneous MPSoC On data manipulation 50% of telecom. protocol Complexity abstraction... Control Data User 1 User 2 User 3 39 / 39

58 39 / 39 Perspectives On dataflow programming On heterogeneous MPSoC On data manipulation

Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs DREAM seminar

Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs DREAM seminar Compilation of Parametric Dataflow Applications for Software-Defined-Radio-Dedicated MPSoCs DREAM seminar Mickaël Dardaillon Research Intern with NOKIA Technologies January 27th, 2015 2 / 33 What we know

More information

A New Compilation Flow for Software-Defined Radio Applications on Heterogeneous MPSoCs

A New Compilation Flow for Software-Defined Radio Applications on Heterogeneous MPSoCs A New Compilation Flow for Software-Defined Radio Applications on Heterogeneous MPSoCs Mickaël Dardaillon, Kevin Marquet, Tanguy Risset, Jérôme Martin, Henri-Pierre Charles To cite this version: Mickaël

More information

Algorithm-Architecture Co- Design for Efficient SDR Signal Processing

Algorithm-Architecture Co- Design for Efficient SDR Signal Processing Algorithm-Architecture Co- Design for Efficient SDR Signal Processing Min Li, limin@imec.be Wireless Research, IMEC Introduction SDR Baseband Platforms Today are Usually Based on ILP + DLP + MP Massive

More information

Leveraging Mobile GPUs for Flexible High-speed Wireless Communication

Leveraging Mobile GPUs for Flexible High-speed Wireless Communication 0 Leveraging Mobile GPUs for Flexible High-speed Wireless Communication Qi Zheng, Cao Gao, Trevor Mudge, Ronald Dreslinski *, Ann Arbor The 3 rd International Workshop on Parallelism in Mobile Platforms

More information

Reliable Embedded Multimedia Systems?

Reliable Embedded Multimedia Systems? 2 Overview Reliable Embedded Multimedia Systems? Twan Basten Joint work with Marc Geilen, AmirHossein Ghamarian, Hamid Shojaei, Sander Stuijk, Bart Theelen, and others Embedded Multi-media Analysis of

More information

A Software Development and Validation Framework for SDR Platforms

A Software Development and Validation Framework for SDR Platforms A Software Development and Validation Framework for SDR Platforms Jeroen.Declerck@imec.be Outline IMEC SDR Platform Problem Statement Framework (XMSF) Implementation XMSS server Graphical logger IMEC SDR

More information

MPSoC Design Space Exploration Framework

MPSoC Design Space Exploration Framework MPSoC Design Space Exploration Framework Gerd Ascheid RWTH Aachen University, Germany Outline Motivation: MPSoC requirements in wireless and multimedia MPSoC design space exploration framework Summary

More information

MPSOC 2011 BEAUNE, FRANCE

MPSOC 2011 BEAUNE, FRANCE MPSOC 2011 BEAUNE, FRANCE BOADRES: A SCALABLE BASEBAND PROCESSOR TEMPLATE FOR Gbps RADIOS VICE PRESIDENT, CHAIRMAN OF THE TECHNOLOGY OFFICE PROFESSOR AT THE KATHOLIEKE UNIVERSITEIT LEUVEN STATUS SDR BASEBAND

More information

Dataflow programming for heterogeneous computing systems

Dataflow programming for heterogeneous computing systems Dataflow programming for heterogeneous computing systems Jeronimo Castrillon Cfaed Chair for Compiler Construction TU Dresden jeronimo.castrillon@tu-dresden.de Tutorial: Algorithmic specification, tools

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

Simulation, prototyping and verification of standards-based wireless communications

Simulation, prototyping and verification of standards-based wireless communications Simulation, prototyping and verification of standards-based wireless communications Colin McGuire, Neil MacEwen 2015 The MathWorks, Inc. 1 Real Time LTE Cell Scanner with MATLAB and Simulink 2 Real time

More information

Heterogeneous vs Homogeneous MPSoC Approaches for a Mobile LTE Modem

Heterogeneous vs Homogeneous MPSoC Approaches for a Mobile LTE Modem Heterogeneous vs Homogeneous MPSoC Approaches for a Mobile LTE Modem Camille Jalier, Didier Lattard, A.A. Jerraya, Gilles Sassatelli, Pascal Benoit, Lionel Torres To cite this version: Camille Jalier,

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Modelling, Analysis and Scheduling with Dataflow Models

Modelling, Analysis and Scheduling with Dataflow Models technische universiteit eindhoven Modelling, Analysis and Scheduling with Dataflow Models Marc Geilen, Bart Theelen, Twan Basten, Sander Stuijk, AmirHossein Ghamarian, Jeroen Voeten Eindhoven University

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information

Programming Heterogeneous Embedded Systems for IoT

Programming Heterogeneous Embedded Systems for IoT Programming Heterogeneous Embedded Systems for IoT Jeronimo Castrillon Chair for Compiler Construction TU Dresden jeronimo.castrillon@tu-dresden.de Get-together toward a sustainable collaboration in IoT

More information

Reliable Dynamic Embedded Data Processing Systems

Reliable Dynamic Embedded Data Processing Systems 2 Embedded Data Processing Systems Reliable Dynamic Embedded Data Processing Systems sony Twan Basten thales Joint work with Marc Geilen, AmirHossein Ghamarian, Hamid Shojaei, Sander Stuijk, Bart Theelen,

More information

Communication Systems Design in Practice

Communication Systems Design in Practice Communication Systems Design in Practice Jacob Kornerup, Ph.D. LabVIEW R&D National Instruments '87 '88 '89 '90 '91 '92 '93 '94 '95 '96 '97 '98 '99 '00 '01 '02 03 04 '05 '06 '07 '08 '09 '10 '11 '12 '13

More information

FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France

FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France IP/SOC 2005 Session: IP Design FAUST: ON-CHIP DISTRIBUTED SOC ARCHITECTURE FOR A 4G BASEBAND MODEM CHIPSET Yves Durand, Christian Bernard, Didier Lattard CEA/LETI Grenoble, France Abstract: The 10 X increase

More information

High-performance and Low-power Consumption Vector Processor for LTE Baseband LSI

High-performance and Low-power Consumption Vector Processor for LTE Baseband LSI High-performance and Low-power Consumption Vector Processor for LTE Baseband LSI Yi Ge Mitsuru Tomono Makiko Ito Yoshio Hirose Recently, the transmission rate for handheld devices has been increasing by

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture 1 Physical Implementation of the DSPI etwork-on-chip in the FAUST Architecture Ivan Miro-Panades 1,2,3, Fabien Clermidy 3, Pascal Vivet 3, Alain Greiner 1 1 The University of Pierre et Marie Curie, Paris,

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

The Connected IT world

The Connected IT world ReCoSoC July2013, Darmstadt The Connected IT world Infrastructural core The Cloud! Sensory swarm Mobile access Source: J. Rabaey 7 trillion wireless devices in 2017, mobile traffic increase 60%/year until

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information

Dynamic Dataflow. Seminar on embedded systems

Dynamic Dataflow. Seminar on embedded systems Dynamic Dataflow Seminar on embedded systems Dataflow Dataflow programming, Dataflow architecture Dataflow Models of Computation Computation is divided into nodes that can be executed concurrently Dataflow

More information

Communication Systems Design in Practice

Communication Systems Design in Practice Communication Systems Design in Practice Jacob Kornerup, Ph.D. LabVIEW R&D National Instruments A Word About National Instruments Annual Revenue: $1.14 billion Global Operations: Approximately 6,870 employees;

More information

MULTI-PROCESSOR SYSTEM-LEVEL SYNTHESIS FOR MULTIPLE APPLICATIONS ON PLATFORM FPGA

MULTI-PROCESSOR SYSTEM-LEVEL SYNTHESIS FOR MULTIPLE APPLICATIONS ON PLATFORM FPGA MULTI-PROCESSOR SYSTEM-LEVEL SYNTHESIS FOR MULTIPLE APPLICATIONS ON PLATFORM FPGA Akash Kumar,, Shakith Fernando, Yajun Ha, Bart Mesman and Henk Corporaal Eindhoven University of Technology, Eindhoven,

More information

Are Polar Codes Practical?

Are Polar Codes Practical? Are Polar Codes Practical? Prof. Warren J. Gross McGill University, Montreal, QC. Coding: from Practice to Theory Berkeley, CA. Feb. 10 th, 2015. Achieving the Channel Capacity Successive cancellation

More information

Content. New Challenges Memory and bandwidth

Content. New Challenges Memory and bandwidth Invasic Seminar March 23 2011, Erlangen Content Computing increase and power challenge in (embedded) computing Heterogeneous multi-core architectures with dedicated accelerators New paradigm e.g. invasive

More information

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis OpenRadio A programmable wireless dataplane Manu Bansal Stanford University Joint work with Jeff Mehlman, Sachin Katti, Phil Levis HotSDN 12, August 13, 2012, Helsinki, Finland 2 Opening up the radio Why?

More information

A System Solution for High-Performance, Low Power SDR

A System Solution for High-Performance, Low Power SDR A System Solution for High-Performance, Low Power SDR Yuan Lin 1, Hyunseok Lee 1, Yoav Harel 1, Mark Woh 1, Scott Mahlke 1, Trevor Mudge 1 and Krisztián Flautner 2 1 Advanced Computer Architecture Laboratory

More information

Symbolic Buffer Sizing for Throughput-Optimal Scheduling of Dataflow Graphs

Symbolic Buffer Sizing for Throughput-Optimal Scheduling of Dataflow Graphs Symbolic Buffer Sizing for Throughput-Optimal Scheduling of Dataflow Graphs Anan Bouakaz Pascal Fradet Alain Girault Real-Time and Embedded Technology and Applications Symposium, Vienna April 14th, 2016

More information

Node Prefetch Prediction in Dataflow Graphs

Node Prefetch Prediction in Dataflow Graphs Node Prefetch Prediction in Dataflow Graphs Newton G. Petersen Martin R. Wojcik The Department of Electrical and Computer Engineering The University of Texas at Austin newton.petersen@ni.com mrw325@yahoo.com

More information

Kalray MPPA Manycore Challenges for the Next Generation of Professional Applications Benoît Dupont de Dinechin MPSoC 2013

Kalray MPPA Manycore Challenges for the Next Generation of Professional Applications Benoît Dupont de Dinechin MPSoC 2013 Kalray MPPA Manycore Challenges for the Next Generation of Professional Applications Benoît Dupont de Dinechin MPSoC 2013 The End of Dennard MOSFET Scaling Theory 2013 Kalray SA All Rights Reserved MPSoC

More information

CEVA-X1 Lightweight Multi-Purpose Processor for IoT

CEVA-X1 Lightweight Multi-Purpose Processor for IoT CEVA-X1 Lightweight Multi-Purpose Processor for IoT 1 Cellular IoT for The Massive Internet of Things Narrowband LTE Technologies Days Battery Life Years LTE-Advanced LTE Cat-1 Cat-M1 Cat-NB1 >10Mbps Up

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Overview of Dataflow Languages. Waheed Ahmad

Overview of Dataflow Languages. Waheed Ahmad Overview of Dataflow Languages Waheed Ahmad w.ahmad@utwente.nl The purpose of models is not to fit the data but to sharpen the questions. Samuel Karlins 11 th R.A Fisher Memorial Lecture Royal Society

More information

Easy Multicore Programming using MAPS

Easy Multicore Programming using MAPS Easy Multicore Programming using MAPS Jeronimo Castrillon, Maximilian Odendahl Multicore Challenge Conference 2012 September 24 th, 2012 Institute for Communication Technologies and Embedded Systems Outline

More information

General Purpose Signal Processors

General Purpose Signal Processors General Purpose Signal Processors First announced in 1978 (AMD) for peripheral computation such as in printers, matured in early 80 s (TMS320 series). General purpose vs. dedicated architectures: Pros:

More information

Design Space Exploration for Memory Subsystems of VLIW Architectures

Design Space Exploration for Memory Subsystems of VLIW Architectures E University of Paderborn Dr.-Ing. Mario Porrmann Design Space Exploration for Memory Subsystems of VLIW Architectures Thorsten Jungeblut 1, Gregor Sievers, Mario Porrmann 1, Ulrich Rückert 2 1 System

More information

Distributed Operation Layer Integrated SW Design Flow for Mapping Streaming Applications to MPSoC

Distributed Operation Layer Integrated SW Design Flow for Mapping Streaming Applications to MPSoC Distributed Operation Layer Integrated SW Design Flow for Mapping Streaming Applications to MPSoC Iuliana Bacivarov, Wolfgang Haid, Kai Huang, and Lothar Thiele ETH Zürich MPSoCs are Hard to program (

More information

PicoSDR goes GNU Radio. Tristan Martin Jan 2013

PicoSDR goes GNU Radio. Tristan Martin Jan 2013 Tristan Martin Jan 2013 Table of content Model Based Design tool for FPGA Development (MBDK) Model Based Design tool for host development (GNU Radio) PicoSDR : High End MIMO RF frontend for GNU Radio Radio420M

More information

ESE532: System-on-a-Chip Architecture. Today. Programmable SoC. Message. Process. Reminder

ESE532: System-on-a-Chip Architecture. Today. Programmable SoC. Message. Process. Reminder ESE532: System-on-a-Chip Architecture Day 5: September 18, 2017 Dataflow Process Model Today Dataflow Process Model Motivation Issues Abstraction Basic Approach Dataflow variants Motivations/demands for

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

HW/SW Cyber-System Co-Design and Modeling

HW/SW Cyber-System Co-Design and Modeling HW/SW Cyber-System Co-Design and Modeling Julio OLIVEIRA Karol DESNOS Karol Desnos (IETR) & Julio Oliveira (TNO) 1 Introduction Who are we? Julio de OLIVEIRA Position: TNO - Researcher & innovation scientist

More information

SoC Communication Complexity Problem

SoC Communication Complexity Problem When is the use of a Most Effective and Why MPSoC, June 2007 K. Charles Janac, Chairman, President and CEO SoC Communication Complexity Problem Arbitration problem in an SoC with 30 initiators: Hierarchical

More information

August, 2010 Enabling Software Defined Radio with the Modem Vector Signal Processor ENT-F0766

August, 2010 Enabling Software Defined Radio with the Modem Vector Signal Processor ENT-F0766 August, 2010 Enabling Software Defined Radio with the Modem Vector Signal Processor ENT-F0766 Kevin Traylor Freescale Fellow Reg. U.S. Pat. & Tm. Off. BeeKit, BeeStack, CoreNet, the Energy Efficient Solutions

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Application-Platform Mapping in Multiprocessor Systems-on-Chip

Application-Platform Mapping in Multiprocessor Systems-on-Chip Application-Platform Mapping in Multiprocessor Systems-on-Chip Leandro Soares Indrusiak lsi@cs.york.ac.uk http://www-users.cs.york.ac.uk/lsi CREDES Kick-off Meeting Tallinn - June 2009 Application-Platform

More information

Simulink -based Programming Environment for Heterogeneous MPSoC

Simulink -based Programming Environment for Heterogeneous MPSoC Simulink -based Programming Environment for Heterogeneous MPSoC Katalin Popovici katalin.popovici@mathworks.com Software Engineer, The MathWorks DATE 2009, Nice, France 2009 The MathWorks, Inc. Summary

More information

Cover Page. The handle holds various files of this Leiden University dissertation

Cover Page. The handle   holds various files of this Leiden University dissertation Cover Page The handle http://hdl.handle.net/1887/32963 holds various files of this Leiden University dissertation Author: Zhai, Jiali Teddy Title: Adaptive streaming applications : analysis and implementation

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

Putting MPSOC to Work in Multimedia

Putting MPSOC to Work in Multimedia Putting MPSOC to Work in Multimedia Six billion people want live multimedia entertainment and information anywhere and anytime at the lowest cost 1 1. Multimedia subsystems appear everywhere big market

More information

Intel Corporation. Software Development Environment for Reconfigurable Communications Architecture Intel Corporation.

Intel Corporation. Software Development Environment for Reconfigurable Communications Architecture Intel Corporation. Software Development Environment for Reconfigurable Communications Architecture Vladimir Ivanov Radio Communications Lab/Corporate Technology Group Contributor: Vicki Tsai Radio Communications Lab/Corporate

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

An Experimental Study of Network Performance Impact of Increased Latency in SDR

An Experimental Study of Network Performance Impact of Increased Latency in SDR An Experimental Study of Network Performance Impact of Increased Latency in SDR Thomas Schmid Oussama Sekkat Mani B. Srivastava - Wintech workshop was started with the Keynote from Eric Blossom on GNU

More information

Compiling for deeply embedded and heterogeneous signal processing systems

Compiling for deeply embedded and heterogeneous signal processing systems Compiling for deeply embedded and heterogeneous signal processing systems Jeronimo Castrillon Cfaed Chair for Compiler Construction (CCC) 5G Summit, Dresden, Germany September 29, 2016 Multi-Processor/core

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

A Flexible Modeling and Simulation Framework for Design Space Exploration

A Flexible Modeling and Simulation Framework for Design Space Exploration A Flexible Modeling and Simulation Framework for Design Space Camille Jalier, Gilles Sassatelli, D. Lattard To cite this version: Camille Jalier, Gilles Sassatelli, D. Lattard. A Flexible Modeling and

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

NUMA Profiling for Dynamic Dataflow Applications

NUMA Profiling for Dynamic Dataflow Applications 1 / 28 NUMA Profiling for Dynamic Dataflow Applications Manuel Selva Lionel Morel Kevin Marquet CITI - INRIA SOCRATE Université de Lyon September 29th, 2015 Introduction Motivation Profiling DF Programs

More information

Multicore DSP Software Synthesis using Partial Expansion of Dataflow Graphs

Multicore DSP Software Synthesis using Partial Expansion of Dataflow Graphs Multicore DSP Software Synthesis using Partial Expansion of Dataflow Graphs George F. Zaki, William Plishker, Shuvra S. Bhattacharyya University of Maryland, College Park, MD, USA & Frank Fruth Texas Instruments

More information

Design Methodologies. Kai Huang

Design Methodologies. Kai Huang Design Methodologies Kai Huang News Is that real? In such a thermally constrained environment, going quad-core only makes sense if you can properly power gate/turbo up when some cores are idle. I have

More information

ASIP LDPC DESIGN FOR AD AND AC

ASIP LDPC DESIGN FOR AD AND AC ASIP LDPC DESIGN FOR 802.11AD AND 802.11AC MENG LI CSI DEPARTMENT 3/NOV/2014 GDR-ISIS @ TELECOM BRETAGNE BREST FRANCE OUTLINES 1. Introduction of IMEC and CSI department 2. ASIP design flow 3. Template

More information

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Aimen Bouchhima, Patrice Gerin and Frédéric Pétrot System-Level Synthesis Group TIMA Laboratory 46, Av Félix

More information

EE382N.23: Embedded System Design and Modeling

EE382N.23: Embedded System Design and Modeling EE38N.3: Embedded System Design and Modeling Lecture 5 Process-Based MoCs Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu Lecture 5: Outline Process-based

More information

System-level Synthesis of Dataflow Applications for FPGAbased Distributed Platforms

System-level Synthesis of Dataflow Applications for FPGAbased Distributed Platforms System-level Synthesis of Dataflow Applications for FPGAbased Distributed Platforms Hugo A. Andrade, Kaushik Ravindran, Alejandro Asenjo, Casey Weltzin NI Berkeley, NI Austin National Instruments Corporation

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

Virtual Radio Engine: A Programming Concept for Separation of Application Specifications and Hardware Architectures

Virtual Radio Engine: A Programming Concept for Separation of Application Specifications and Hardware Architectures Virtual Radio Engine: A Programming Concept for Separation of Application Specifications and Hardware Architectures Riyadh Hossain 1, Matthias Wesseling 1, Claudia Leopold 2 1 Siemens AG, Com MP CTO TI2,

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Modeling a 4G LTE System in MATLAB

Modeling a 4G LTE System in MATLAB Modeling a 4G LTE System in MATLAB Part 3: Path to implementation (C and HDL) Houman Zarrinkoub PhD. Signal Processing Product Manager MathWorks houmanz@mathworks.com 2011 The MathWorks, Inc. 1 LTE Downlink

More information

Seamless Dynamic Runtime Reconfiguration in a Software-Defined Radio

Seamless Dynamic Runtime Reconfiguration in a Software-Defined Radio Seamless Dynamic Runtime Reconfiguration in a Software-Defined Radio Michael L Dickens, J Nicholas Laneman, and Brian P Dunn WINNF 11 Europe 2011-Jun-22/24 Overview! Background on relevant SDR! Problem

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Interface-Based Design Introduction

Interface-Based Design Introduction Interface-Based Design Introduction A. Richard Newton Department of Electrical Engineering and Computer Sciences University of California at Berkeley Integrated CMOS Radio Dedicated Logic and Memory uc

More information

Optimization of Behavioral IPs in Multi-Processor System-on- Chips

Optimization of Behavioral IPs in Multi-Processor System-on- Chips Optimization of Behavioral IPs in Multi-Processor System-on- Chips Yidi Liu and Benjamin Carrion Schafer # Department of Electronic and Information Engineering b.carrionschafer@polyu.edu.hk # Outline High-Level

More information

PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming

PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming PREESM: A Dataflow-Based Rapid Prototyping Framework for Simplifying Multicore DSP Programming Maxime Pelcat, Karol Desnos, Julien Heulot Clément Guy, Jean-François Nezan, Slaheddine Aridhi EDERC 2014

More information

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 Outline Motivation Current situation Goal RFNoC Basic concepts Architecture overview Summary No Demo! See our booth,

More information

Automated Design Flow for Coarse-Grained Reconfigurable Platforms: an RVC-CAL Multi-Standard Decoder Use-Case

Automated Design Flow for Coarse-Grained Reconfigurable Platforms: an RVC-CAL Multi-Standard Decoder Use-Case XIV International Conference on Embedded Computer and Systems: Architectures, MOdeling and Simulation SAMOS XIV - 2014 July 14 th - Samos Island (Greece) Carlo Sau, Luigi Raffo DIEE Università degli Studi

More information

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager Software Defined Modems for The Internet of Things Dr. John Haine, IP Operations Manager www.cognovo.com What things? 20 billion connected devices Manufactured for global markets Low cost Lifetimes from

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

ADAPTING A SDR ENVIRONMENT TO GPU ARCHITECTURES

ADAPTING A SDR ENVIRONMENT TO GPU ARCHITECTURES Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 211 ADAPTIG A SDR EVIROMET TO GPU ARCHITECTURES Pierre-Henri Horrein (CEA, Leti, Minatec, Grenoble, France; pierre-henri.horrein@cea.fr); Christine Hennebert

More information

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications

Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Resource Efficiency of Scalable Processor Architectures for SDR-based Applications Thorsten Jungeblut 1, Johannes Ax 2, Gregor Sievers 2, Boris Hübener 2, Mario Porrmann 2, Ulrich Rückert 1 1 Cognitive

More information

Key technologies for many core architectures

Key technologies for many core architectures Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications White Paper Introduction Emerging wireless applications such as remote radio heads, pico/femto base stations, WiMAX customer premises equipment (CPE), and software defined radio (SDR) have stringent power

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

Computational Process Networks a model and framework for high-throughput signal processing

Computational Process Networks a model and framework for high-throughput signal processing Computational Process Networks a model and framework for high-throughput signal processing Gregory E. Allen Ph.D. Defense 25 April 2011 Committee Members: James C. Browne Craig M. Chase Brian L. Evans

More information

Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC)

Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC) un-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC) Philip K.F. Hölzenspies, Johann L. Hurink, Jan Kuper, Gerard J.M. Smit University of Twente Department

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design Ahmed Amine JERRAYA EPFL November 2005 TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr

More information

System-on-Chip. 4l1 Springer. Embedded Software Design and Programming of Multiprocessor. Simulink and SystemC. Case Studies

System-on-Chip. 4l1 Springer. Embedded Software Design and Programming of Multiprocessor. Simulink and SystemC. Case Studies Katalin Popovici Frederic Rousseau Ahmed A. Jerraya Marilyn Wolf Embedded Software Design and Programming of Multiprocessor System-on-Chip Simulink and SystemC Case Studies 4l1 Springer Contents 1 Embedded

More information

Overview of SOC Architecture design

Overview of SOC Architecture design Computer Architectures Overview of SOC Architecture design Tien-Fu Chen National Chung Cheng Univ. SOC - 0 SOC design Issues SOC architecture Reconfigurable System-level Programmable processors Low-level

More information