Detailed Presentation

Size: px
Start display at page:

Download "Detailed Presentation"

Transcription

1 Detailed Presentation

2 PDK Leadership - Developing and Delivering High Quality PDKs Simucad PDKs are being rapidly adopted worldwide by leading foundries and design houses because of their quality and ease of use This presentation covers Simucad's unique PDK development system, which enables the creation of high quality PDKs with low lead times - 2 -

3 PDK Leadership - Developing and Delivering High Quality PDKs Simucad PDK Leadership PDK as Enabling Technology Rapidly Growing Number of PDK users PDK File Usage Simple and Efficient - 3 -

4 Simucad PDK Leadership Development and QA through Full Design Flow High Quality Fast Turn Around Time (TAT) Dedicated PDK Developers (PDK specialist) Close Communication with Fab and Users Uniform PDK File / Folder Format for all PDKs Ease of Use Collaboration with EDA Tool Developers No system setup required -> Just load files from EDA Tools - 4 -

5 PDK as Enabling Technology PDKs are a critical enabling technology for design houses allowing them to utilize EDA tools efficiently and to start designing as soon as a PDK is made available Simucad provides a Design Solution by offering PDKs and EDA Tools together, and interfacing with fabs to shorten customer s design time PDKs enable CONNECTING PDKs enable smooth data transfer from one EDA tool to another creating seamless design flow PDKs enable efficient communication between design houses, EDA vendor, and fabs, by serving as a common ground for communication - 5 -

6 PDK Data Flow PDK PDK EDA Tools Models User Design Validation Spice Simulator LVS file RC extraction file PEX LVS LPE DRC Fab Design Data Fab Design Spec Schematic Editor Schematics / NDL Net List Layout Editor GDS Fab Process Silicon FE Design BE Design Design Validation - 6 -

7 PDK Problems in CONNECTING PDK Models EDA Tools USER VENDOR B Spice Simulator LVS file RC extraction file VENDOR E FAB Design Validation VENDOR A PEX LVS DRC LPE VENDOR D Fab Design Data Design Spec Schematic Editor VENDOR C Schematics / NDL Net List Layout Editor GDS Fab Process Silicon FE Design BE Design Design Validation - 7 -

8 Simucad PDK Enables CONNECTING PDK SIMUCAD PDK PDK EDA Tools Models Smartspice USER Spice Simulator LVS file RC extraction file FAB Design Validation LVS PEX LPE DRC Fab Design Data Design Spec Schematic Editor Schematics / NDL Net List Layout Editor GDS Fab Process Silicon Gateway FE Design Expert BE Design Design Validation - 8 -

9 Rapidly Growing Number of PDK Users Our list of publicly available PDKs is growing. Please see:

10 Rapidly Growing PDK Users

11 PDK File Structure Gateway Symbol Files Expert Spice Model File Workspace File (Env Setup) NDL File(s) (net list driven layout) Extraction Files (LPE / RC Extraction) Technology File (Env Setup) LVS File DRC File Pcell File

12 PDK File Usage Gateway Schematic Editor Gateway 2 (1) Load workspace file for env setup (2) It will load necessary symbol files (3) Open / edit schematic 1 Expert

13 PDK File Usage Gateway / SmartSpice Simulation Gateway 4 4 Expert (4) Generate net list and run simulation... R7 NET3 GND RPPLUS W=10U L=686.8U M=1 DA_R7 NET3 VIN PPNW R12 NET6 GND RPPLUS W=10U L=133.92U M=1 DA_R12 NET6 VIN PPNW *.ENDS vref.global GND VDD.SAVE ALL(I) ALL(V) ALL_SUB(I) ALL_SUB(V).INC../../../models/sbcd.lib.TEMP=55.OP.DC LIN TEMP END

14 PDK File Usage Gateway LVS / NDL Preparation Gateway 5 Expert * Gateway R Guardian Netlist Generator... M1 VOUT NET2 VIN VIN CMOSP L=25U W=100U AD=550P PD=211U AS=550P PS=211U M=1 M2 NET4 NET2 VIN VIN CMOSP L=25U W=100U AD=550P PD=211U AS=550P PS=211U M=1 M3 NET2 NET2 VIN VIN CMOSP L=25U W=100U AD=550P PD=211U AS=550P PS=211U M=1 M4 NET8 NET2 VIN VIN CMOSP L=25U W=100U AD=550P PD=211U AS=550P PS=211U M=1 Q1 VOUT VOUT NET1 GND NPN AREA=1 M=8 Q2 NET4 VOUT NET3 GND NPN AREA=1 M=1 Q3 NET8 NET8 GND GND NPN AREA=1 M=1... (5) Generate net list for LVS / NDL

15 PDK File Usage Expert Layout Gateway (6) Load technology file and open layout (7) Load Pcell library (8) Edit layout Expert

16 PDK File Usage Expert Layout (NDL) Gateway (9) Load NDL setup files (10) Edit layout 10 Expert

17 PDK File Usage Expert / Guardian DRC Gateway (11) Load DRC file and run (12) Review errors and fix layout 12 Expert

18 PDK File Usage Expert / Guardian LPE Gateway (13) Load LPE file and run (14) Get LPE net list Expert

19 PDK File Usage Expert / Guardian LVS Gateway (15) Load LVS file and run (16) Review errors and fix layout Expert

20 PDK File Usage Expert / HIPEX RC Extraction Gateway (17) Load LPE / RC extraction files and run (18) Get RC extraction net list Expert

21 Synergistic Effect of Simucad PDK and TOKEN License TOKEN License Supports Full Mixed-Signal/Analog/RF Design flow Reasonable cost Purchase Yearly to Daily term according to project term Jump start of design in Simucad PDK and TOKEN Foundry Simucad PDK TOKEN Design House

22 Synergistic Effect of Simucad PDK and Company Worldwide Unlimited License Company Worldwide Unlimited License Use of unlimited copies of single or multicore design tools (SmartSpice, Expert, Guardian) Any supported platform at all company worldwide locations Strong Design Environment by Simucad PDK and Unlimited License Foundry Simucad PDK Unlimited Design House

23 Conclusion Simucad strongly supports worldwide foundries and design houses Simucad PDKs are being rapidly supported by a network of worldwide users due to their high quality, fast TAT, ease of use Simucad continues to deliver strong design environment support, complete design flow, and advanced licensing such as Token and WorldWide Unlimited site license Design houses can use Simucad PDKs to safely evaluate and select future foundries

Silvaco Japan Leading Future EDA in Japan

Silvaco Japan Leading Future EDA in Japan Silvaco Japan Leading Future EDA in Japan 株式会社シルバコシルバコ ジャパン Dr. Ivan Pesic and Kazuya Fujimoto Problem and Opportunity Problem Japan today, even with a significant electronics industry, does not have an

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

OpenPDK Coalition. Open Process Specification Working Group Status

OpenPDK Coalition. Open Process Specification Working Group Status OpenPDK Coalition Open Process Specification Working Group Status Gilles NAMUR OPDKC TSG Chair June 6 th, 2011 PDK Development Flow Ecosystem Foundry 2 Foundry 1 Foundry 3 Set of PDK Inputs: DRM & Device

More information

Open Process Spec Adoption: a Case Study

Open Process Spec Adoption: a Case Study Open Process Spec Adoption: a Case Study June 3 rd, 2014 AGENDA 2 OpenPDK & OPS Introduction What does OPS looks like? Let s do an openpdk with OPS Target of OpenPDK Coalition 3 a set of open standards

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding IPL Workshop Luncheon DAC 2008 Interoperable PDK Libraries: The Proof is in the Pudding Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40

More information

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building Design software and services for the integrated photonics market IPKISS 3.1.3 Moves the edges in PIC PDK building take controltake of your control photonics of your photonics design flow design flow 1

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence.

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence. (This might not be the best way, but it works!) 1) Realize

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Flat Panel Displays TCAD/ Circuit Design

Flat Panel Displays TCAD/ Circuit Design Flat Panel Displays TCAD/ Circuit Design Silvaco Products Connecting TCAD to Tapeout -2- FPD Design & Fabrication Specification EDA Verification SPICE Characterization UTMOST Parameter Extraction I-V,

More information

Creating the inv1 cell WITHOUT power pins

Creating the inv1 cell WITHOUT power pins Simulating with extracted parasitic Let s assume I designed the cell inv1, for which I created the views schematic, symbol and layout. Creating the inv1 cell WITHOUT power pins First, create the inverter

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

SILVACO Management Console (SMAN)

SILVACO Management Console (SMAN) SILVACO Management Console (SMAN) User s Manual SILVACO, Inc. 4701 Patrick Henry Drive, Bldg. 2 March 5, 2012 Santa Clara, CA 95054 Phone (408) 567-1000 Web: www.silvaco.com Notice The information contained

More information

EDA Cloud ADS CIC EDA Cloud ADS Software User Manual

EDA Cloud ADS CIC EDA Cloud ADS Software User Manual EDA Cloud ADS CIC EDA Cloud ADS Software User Manual ADS www.cic.org.tw Ver.4.0 0 2016/11 1.0 EDA cloud ADS Flow 2.0 2015.08 2 EDA Cloud ADS EM 3.0 2015.12 2 EDA Cloud ADS EM A B C 2.0 2 3 3.1 2016.04

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway AIM Photonics Silicon Photonics PDK Overview March 22, 2017 Brett Attaway Silicon Photonics Process Design Kits (PDK) PDK 3 technologies, 2 major releases/year Full (active)- v1.0 available now Passive-

More information

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Article Reprint This article was first published in Microwave Product

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

RC Extraction. of an Inverter Circuit

RC Extraction. of an Inverter Circuit RC Extraction of an Inverter Circuit Santa Clara University Department of Electrical Engineering Under Guidance of Dr Samiha Mourad & Dr Shoba Krishnan Date of Last Revision: February 1, 2010 Copyright

More information

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Application Note Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Abstract: An original parameters extraction strategy has been developed using a physical and scalable 2π equivalent

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation Make the layout window active and select Calibre > Run PEX from the top menu bar to start a Parasitic EXtraction. You will need

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

AccuCore STA DSPF Backannotation Timing Verification Design Flow

AccuCore STA DSPF Backannotation Timing Verification Design Flow Application Note AccuCore STA DSPF Backannotation Timing Verification Design Flow Abstract This application note highlights when and why DSPF backannotation is needed during timing verification, and details

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

New Enhanced Possibilities of Netlist Comparison in Guardian LVS

New Enhanced Possibilities of Netlist Comparison in Guardian LVS Application Note New Enhanced Possibilities of Netlist Comparison in Guardian LVS 1. Introduction The Guardian LVS (Layout versus Schematic) netlist comparison tool compares two SPICE netlists. One of

More information

HIL Connect Interface System. Interface your power electronics controllers directly to HIL602 via customized HIL Connect.

HIL Connect Interface System. Interface your power electronics controllers directly to HIL602 via customized HIL Connect. HIL Connect Interface System. Interface your power electronics controllers directly to HIL602 via customized HIL Connect. HIL made for Power Electronics Engineers. HIL Connect Interface System Directly

More information

DRC and LVS checks using Cadence Virtuoso Version 2.0

DRC and LVS checks using Cadence Virtuoso Version 2.0 DRC and LVS checks using Cadence Virtuoso Version 2.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

EE5323/5324 VLSI Design I/II using Cadence

EE5323/5324 VLSI Design I/II using Cadence 1 of 18 2009-1-23 23:58 Spring 2009: EE5323/5324 VLSI Design I/II using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016 GF14LPP-XL AMS Reference Flow for FINFET Technology Rajashekhar Chimmalagi Design Methodology April 5 th 2016 Agenda 1 FinFET & FinFET Challenges 2 GF Reference Flows 3 Ref Flow Design 4 Ref Flow Modules

More information

Specifying the PCB Design Rules and Resolving Violations

Specifying the PCB Design Rules and Resolving Violations Specifying the PCB Design Rules and Resolving Violations Summary This article introduces the PCB Design Rules System, in particular how rules are created and applied to objects in a design. It also describes

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Prog. Logic Devices Schematic-Based Design Flows CMPE 415. Designer could access symbols for logic gates and functions from a library.

Prog. Logic Devices Schematic-Based Design Flows CMPE 415. Designer could access symbols for logic gates and functions from a library. Schematic-Based Design Flows Early schematic-driven ASIC flow Designer could access symbols for logic gates and functions from a library. Simulator would use a corresponding library with logic functionality

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Andrew Cole VP, Silicon Creations Chris Clee Product Marketing Manager, Calibre Parasitic Extraction Products Agenda:

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

OpenAccess PCells Ed Petrus VP Engineering V2

OpenAccess PCells Ed Petrus VP Engineering V2 OpenAccess PCells Ed Petrus VP Engineering V2 April 2005 Page 1 Copyright 2005 CiraNova, Inc. What is CiraNova about? 4 CiraNova enables analog designers to create migratable, re-usable analog objects

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL DATASHEET The Cadence FPGA addresses the challenges that engineers encounter when designing one or more large-pin-count FPGAs on the PCB board which includes creating the initial pin assignment, integrating

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元 Cell-Based IC Physical Design & Verification SOC Encounter Advisor : 李昆忠 Presenter : 蕭智元 Reference: SOC Encounter Training Manual, 2007, edited by CIC. Introduction We ll use some EDA tools to transform

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 Giving photonic IC designers the same power as electronic IC designers. Make it possible

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

Complete Design Environment 完整的設計環境. Addi Lin

Complete Design Environment 完整的設計環境. Addi Lin Complete Design Environment 完整的設計環境 Addi Lin 25/Jun/2015 OrCAD PCB Design Flow Overview Library Editor OrCAD Library Builder Design Entry OrCAD Capture CIS Circuit Simulation PSpice A/D Advanced Analysis

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Creating Enterprise and WorkGroup Applications with 4D ODBC

Creating Enterprise and WorkGroup Applications with 4D ODBC Creating Enterprise and WorkGroup Applications with 4D ODBC Page 1 EXECUTIVE SUMMARY 4D ODBC is an application development tool specifically designed to address the unique requirements of the client/server

More information

Start ADS and Create an Empty Project

Start ADS and Create an Empty Project Start ADS and Create an Empty Project Look for a desktop icon or start menu item entitled Advanced Design System 2011 ADS will start up and you will see ultimately: ADS Session 1 click for new project

More information

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS: Creating LEF Tutorial 1-1 - Creating LEF File Abstract Generation: Export GDS: Abstract generator comes as a part of the Silicon Ensemble package. As such, it cannot directly read ICFB library databases.

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Laker and Calibre RealTime, an OA Integration Success Story

Laker and Calibre RealTime, an OA Integration Success Story Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Rich Morse, Marketing & EDA Alliances Manager, SpringSoft Anant Adke, Director of Engineering, Design to Silicon

More information

Cadence Design Systems

Cadence Design Systems Cadence Design Systems Analog Mixed-Signal Foundation Flow (AMSFF) Cadence 45nm Generic Standard Cells User Guide 2014 April Cadence Design Systems Page 1 of 32 CONFIDENTIAL NOTICE This document contains

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

Design and deliver cloud-based apps and data for flexible, on-demand IT

Design and deliver cloud-based apps and data for flexible, on-demand IT White Paper Design and deliver cloud-based apps and data for flexible, on-demand IT Design and deliver cloud-based apps and data for flexible, on-demand IT Discover the fastest and easiest way for IT to

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

SPICE tutorial Using NGSpice and Cppsim

SPICE tutorial Using NGSpice and Cppsim SPICE tutorial Using NGSpice and Cppsim Instructor: Prof. Jintae Kim Mixed-Signal Electronics Lab Konkuk University SPICE What is SPICE? - Simulation Program With Integrated Circuit Emphasis - Real-world

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Using KiCad with AimSpice Doc 0.2 CETA - Univ. Hartford, Connecticut, USA

Using KiCad with AimSpice Doc 0.2 CETA - Univ. Hartford, Connecticut, USA Using KiCad with AimSpice Doc 0.2 CETA - Univ. Hartford, Connecticut, USA KiCad is a open source software package for schematic capture and PC board layout. KiCad also provides some capability in producing

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information