INDUSTRY REVIEW FOCUS ON MEMS PACKAGING. Two very different approaches to MEMS packaging

Size: px
Start display at page:

Download "INDUSTRY REVIEW FOCUS ON MEMS PACKAGING. Two very different approaches to MEMS packaging"

Transcription

1 F e b r u a r y I S S U E N 2 6 Packaging beyond the mainstream By 3D Packaging Editorial Team Emerging volume markets in MEMS, LEDs, power devices and even silicon photonics mean an increasing diversity of demands and opportunities for semiconductor packaging technology. Despite some bumps along the way, MEMS, LEDs and power devices are maturing into high volume consumer markets on track to reach a combined $40 billion over the next five years. Silicon photonics is emerging towards commercial growth. That means growing demand for more standard and lower cost packaging solutions for volume production across these markets, and also plenty of new, high value technical problems to solve for their highly diverse requirements. This issue we look at some key developments and trends across these growth markets outside of the mainstream IC world. INDUSTRY REVIEW FOCUS ON MEMS PACKAGING Two very different approaches to MEMS packaging Bosch roadmap is mostly wire bonding, while Silex aims to facilitate use of TSV. While MEMS is clearly moving towards smaller, lower cost, more standard packaging solutions, there are widely different paths to these same ends. Robert Bosch (Bosch) and Silex Microsystems (Silex) epitomize the wide variation in possible successful approaches to MEMS packaging. While the major IDM continues to push traditional wire bonding to new limits, the leading MEMS foundry now supplies half its customers with through-silicon-via solutions. As one of the top three MEMS producers, Robert Bosch fabs well over a 1.5 million MEMS devices a day for annual sales approaching $800 million. And it s turned that well developed in-house expertise to pushing fairly conventional packaging technology to new generations of smaller devices, co-designing the MEMS, the ASIC and the packaging to allow stacking and wire bonding ASIC to MEMS to laminate substrate in a plastic LGA package for almost all its products. The WLCSP magnetometer BMM150. (Courtesy of Robert Bosch) It s been a question of how far you can push the limits of the package and its manufacture, says Georg Bischopink, Bosch VP of engineering, who s responsible for MEMS packaging. We ve found we can push further than we thought before. Two to three years ago we thought that a 2 x 2mm 2 package was the absolute limit, but now 2 x 2mm 2 is standard and our newest mold package with wire bonding is 1.2 x 1.5mm 2. The IDM can improve the MEMS design and tune its volume manufacturing processes to tighter tolerances, while also driving the ASIC design to better handle smaller signals from the smaller structures. Then it works closely with its assembly subcontractors to simulate all the effects and adjust the molding compounds, temperatures and pressures to manage the stresses. It has outsourced all assembly of mold packages since the mid 1990s to its own lines at subcontractors in Asia, starting with mold technology for automotive sensors. It would be too expensive to do it in Germany, notes Frank Schaefer, product manager, automotive sensors. 6

2 I S S U E N 2 6 F e b r u a r y The company expects stacking of chips with wire bonding to continue to be its main approach even as sensors move increasingly to multichip combinations in single packages, that integrate some combination of accelerometers, gyroscopes, and magnetometers for full motion sensing, plus a microcontroller for more sophisticated processing and perhaps a RF chip for wireless. That means more thinning of the chips of the CMOS more readily than the more sensitive MEMS for triple stacking to still stay under the 1mm package height limit expected for mobile consumer products. Our roadmap is that the standard LGA works fine, says Schaefer, arguing that direct wafer-to-wafer or chip-to-wafer bonding or bumping won t work well with multiple chips of very different sizes. And TSV is too expensive, he notes. We re still fine with wire bonding. On the automotive side, customers are discussing alternatives to SOIC packages, but concern for the reliability of the soldering in smaller packages outweighs the limited interest in reducing size. Here too the main emphasis is on pushing the conventional technology, to eliminate pre-mold and optimize the molding compound and process specifications. Bosch has, however, recently made its first foray into wafer-level chip sized packaging, with its latest tiny 3-axis magnetometer. The magnetic sensor elements are integrated into the ASIC and solder bumps added on top. The combination of ASIC and solder bumps has a height of 0.6mm. This is our only WL CSP so far without any mold, notes Bischopink, suggesting some caution about how widely applicable the technology will be, as it requires more robust sensors than for an LGA. Silex pushes low-density TSVs to next generation At the other end of the spectrum, the leading pureplay MEMS foundry Silex Microsystems has seen double digit growth in recent years, to close to $40 million in annual sales, in large part by providing its small fabless MEMS customers with an established through-silicon via interconnect to distinguish their products. Peter Himes, Silex VP of marketing and strategic alliances, says that about half its customers now use its low density, all-silicon TSV process, either for an interposer between the MEMS and ASIC chips, or as an element of the MEMS for its I/O to the ASIC or the board, allowing reduction of the pad area for smaller, lower cost devices. These via-first connections are made in full-thickness wafers by isolating plugs of low-resistance silicon by etching around them and filling the trench with dielectric. TSVs for MEMS are generally low density, with typical pitches of 100 to 200µm and anywhere from 2 to 20 TSVs per device, on full-thickness wafers that avoid the need for thin wafer handling or special carriers, a much simpler and lower cost solution than the thin interposers for high density ICs. The Swedish company is now introducing a new generation of copper-filled vias in full thickness wafers, pushing the low-density TSV approach towards smaller pitch and lower resistance, to extend application to smaller MEMS devices, and potentially to other analog, mixed signal, LED and power devices that also need 10s or 100s, not 1000s of vias. These 90µm-diameter copper vias use technology licensed from Swedish supplier ÅAC Microtec. A small etch from the front and a deep etch from the back create a waist in the middle of the full-wafer via profile that serves as a locking pin to prevent the relatively large plug from popping out during temperature cycling. The copper filling has a hollow core to compensate for the TCE mismatch between the copper and the silicon to improve reliability. Next on the roadmap is a 50µm version, and a technology to build embedded passives into the silicon along with the copper vias, developed in conjunction with an European-funded research program. An inductor, for example, could be built through the vertical TSV to take up less substrate surface area than the usual horizontal coil, providing high inductance-per-unit-area integrated passive capabilities. Silex is currently working to develop complete characterization of the thermal and frequency and other properties for the final packaging and assembly of these wafer-level TSV stacks, to offer customers a complete engineered solution of the whole system to ease design and speed transfer to production of the packaged device. Paula Doe for Yole Développement (Courtesy of Silex Microsystems) Our roadmap is that the standard LGA works fine. We re still fine with wire bonding, says Dr Frank Schaefer, Bosch. Dr. Georg Bischopink, Vice President, Bosch Engineering Sensors for External Customers and Sensor Packages, Bosch Georg hold in 1992 a Ph. D. in semiconductor physics, University of Freiburg, Germany. He has worked at Bosch since 1992 at various positions such as Section Manager - Development MEMS Sensor Products, Director, Bosch MEMS-Production or Vice President, Bosch Corporate Research Microsystem-Technology. Peter Himes, VP Marketing & Strategic Alliances, Silex Microsystems Peter has over 25 years experience in helping startups and public companies establish their strategic direction and industry position. Experienced in IC and MEMS alike, Peter has held VP of Sales and/or Marketing positions at QuickSil, SiTime, and Winbond Corporations. Dr. Frank Schaefer, Senior Manager for Product Management Automotive MEMS, Bosch Franck hold in 1999 a Ph.D. in semiconductor physics, University of Wuerzburg, Germany. He has been working with Bosch since 1999 at various positions in the field of MEMS. Since 2012, he is head of product management for automotive MEMS sensors. 7

3 F e b r u a r y I S S U E N 2 6 Instead of putting the high value ASIC on a blank silicon interposer with vias and interconnects, we could put the photonics on the interposer and connect it directly to the upstairs die, says Chris Bergey, Luxtera. INDUSTRY REVIEW FOCUS ON SILICON PHOTONICS PACKAGING Silicon photonics looks for 2.5D assembly at OSATs Though silicon photonics has so far relied on one-chip integration of optics with electronics to start to get real traction in the data communications market, sector pioneer Luxtera sees the evolving packaging technology for heterogeneous 2.5D integration as the next generation solution to scale integrated photonics to high volume production. The company is working to build up a scalable back end silicon photonics infrastructure with OSATs and assembly and test tool suppliers. Silicon photonics is still a small emerging market, but growing demand for high speed data communication is starting to spur serious interest. Yole Développement sees silicon photonic systems sales of some $215 million by The sector got a recent boost when Facebook announced plans to move to silicon photonics for its server interconnect, to enable disaggregation of computing into separate units for more efficient sharing of memory among multiple processors. Leading supplier Luxtera says it has shipped more than half a million of the optics-and-transistors-on-silicon devices to date, primarily for active optical cables in data centers, and sees demand for >10M units a year by One key enabler of this growth will be moving from the electrical system-on-a-chip approach to an electrical and optical system in a package solution, made possible by the recent advances in 3D packaging technology. The silicon infrastructure s development of 2.5D heterogeneous integration is a key technology path forward for photonics, says Chris Bergey, Luxtera VP of marketing. Instead of putting the high value ASIC on a blank silicon interposer with vias and interconnects, we could put the photonics on the interposer and connect it directly to the upstairs die. This allows the silicon to have optical I/O with much lower system power consumption, terabits of speed and >100 meters of reach. Cisco Systems recently similarly announced that it was prototyping such a 2.5D silicon interposer solution. Integrating optics into electronics for higher speed transmission requires optical waveguides, modulators and receivers in silicon, integrated with CMOS transistors, which silicon photonics suppliers now make on a single chip. More complicated is getting the optical power supply of light into the system, whether by also integrating III-V laser devices into the silicon, or bonding on the compound semiconductor devices, or micro packaging a MEMS mirror device on top, or by connecting a separate laser component to the chip by optical fiber. Getting the light out of the system means connecting the chip to optical fiber. Integrating the diverse optics and electronics at the package level could be a simpler volume production solution, now that 2.5D heterogeneous integration technology for short, fast connections is emerging. A silicon photonics foundry could process the large photonic device, making waveguides, modulators, receivers, optical I/Os to connect to the ASIC, and Optical coupler: interfaces between interposer and MT-ferrules Optical fibers provide high-speed interconnect and provide supply of DC light to transmitters Photonic Interposer Package Substrate: High & low speed IO Power supply and Mechanical support to interposer Heat sink mounted on package MT-Ferrules as example for pluggable fiber (MCF) interconnect Optical ASIC foor plan & packaging. (Courtesy of Luxtera) 8

4 I S S U E N 2 6 F e b r u a r y Optical ASIC foor plan & packaging. (Courtesy of Luxtera) adding a limited number of TSVs for power and ground to the printed circuit board. The interposer wafer could be full thickness or thinned, depending on application requirements. A packager could then micro bump an advanced CMOS control die and a little glass connector component on to the photonic/interposer-- either chipon-chip or chip-on-wafer--then plug in and align the optical fiber bundle to that connector. Very little new needs to be developed beyond the natural evolution of the mainstream 2.5D packaging processes, argues Bergey. The vias would need to be made through SOI wafers for the photonics/interposer, but there don t appear to be major issues there. Assembly equipment will need to be modified to very precisely align the glass plug to the photonics substrate, and then to align the fiber into the connector. The required precision is on the order of that of copper pillar bumping, more precise than that for flip chip. Bergey figures commercial die attach tools could be customized to do the job, doing first pass alignment by machine vision, then running light though the fibers for final optical alignment. Luxtera has been busy finding partners to help in its strategy of leveraging the existing semiconductor manufacturing infrastructure to ramp silicon photonics quickly to low-cost volume production, including for packaging, assembly and test. It has designed its photonics to use standard processes and tools, and is licensing its technology to encourage others to develop products to add to the volumes as well. The company is now producing on 200mm wafers at Freescale Semiconductor, and developing a 300mm process at STMicroelectronics. ST plans to design and manufacture its own products for its customers with the process, as well as fab devices for Luxtera. Luxtera also has supplied its process to OpSIS for an open foundry service with design kit and multi-product wafers run at IME in Singapore and at Luxtera s own fab, to help bring down the cost of photonics development for other users. It s working with an OSAT to build a standard process for optical attach and test. It has partnered with Tokyo Electron to customize a standard probe tool for high speed wafer-scale optical testing, with fast alignment using prober cameras. After developing the needed volume technologies with the first key partner, Luxtera expects that partner to sell to other users as well, while Luxtera rolls the technologies out to more suppliers to create a more robust supply chain. There s a whole ecosystem that has to be built out as systems move from copper to optical interfaces for 25Gbps and beyond it s a big transition, says Bergey. Paula Doe for Yole Développement Chris Bergey, Vice President of Marketing, Luxtera Prior to joining Luxtera, Chris was a Vice president at Broadcom, responsible for establishing and managing Broadcom s WLAN combo business, which he grew into one of Broadcom s largest lines of business. Prior to spending nine years at Broadcom, Bergey worked for Multilink Technology Corporation and Advanced Micro Devices. Chris received his MBA from the University of Maryland and a BS in Electrical and Computer Engineering from Drexel University. 9

5 F e b r u a r y I S S U E N 2 6 INDUSTRY REVIEW FOCUS ON POWER DEVICE PACKAGING New packaging technology and new business models impact power module design Potential volume markets in alternative energy applications have attracted investment into both new packaging technologies and new business models that could have a big impact on the power device market. We check in with International Rectifier on technology for replacing wire bonding with modular solderable components, and with iqxprz on the rise of the fabless/foundry option. The potentially high volumes and extreme performance demands of the hybrid and electric vehicle market will have significant impact on power device technology. One recent example of the innovation being driven by this market is International Rectifier s new modular IGBT and diode co-pack building block that can be surface mounted in different combinations, simplifying power module construction and allowing systems makers to more easily create their own optimized power circuit topologies. IR has replaced the wire bonds with solderable metal on both sides of the thin IGBT and diode dies, and attached both to a direct bonded copper substrate. These pre-assembled and pre-tested building blocks are then attached to a DBC singly or in multiples, either face up, or face down flip-chip style for shorter connections and flexible design. Eliminating the wire bonds improves reliability and makes a more compact device, while the IGBT and diode dies with solderable metal on both sides can be easily assembled into co-packs and modules. (Courtesy of International Rectifier) double-sided cooling signifiantly improves thermal performance. After attaching the leadframe, this compact unit is then overmolded. Compared to a conventional module wirebonded in a gel-sealed plastic package, this process adds a top layer of DBC, but eliminates the wirebonds, gel and base plate, although a base plate can be added as an option. Jack Marcinkowski, Sr. Technical Marketing and Applications Manager for International Rectifier s Automotive Business Unit, says this buildingblock approach significantly reduces overall system cost, by improving mechanical, electrical and thermal performance, but especially by providing a standardized and tested building block that simplifies power module customization and assembly and improves yields. IR will both use the technology in its own modules and sell the devices to outside customers. This intermediate co-pack fills the gap between discretes and modules, he says, noting that the compact modules can replace a number of discrete packaged devices. There s no precedent in the industry. Manufacturers can use the co-pack like a surface-mounted component to create their own optimized custom topology, instead of trying to design their system around an existing commercial module. The compact module is reportedly roughly half the size and a quarter of the weight of a similarly-rated wire-bonded gel package, opening design possibilities such as putting the inverter inside the electric motor housing, for example. Key to the development was selecting proper device metallization, die attach, materials with well matched thermal expansion properties, and devising a high yielding manufacturing process. The company says the payoff is major improvements in performance for EV/HEV inverter demands. Marcinkowski reports the devices have held up through some one million thermal cycles so far in company tests, while wirebonds the most common failure mechanism for power devices may start to crack or delaminate at 100,000 cycles. 10

6 I S S U E N 2 6 F e b r u a r y The lower resistance of these direct soldered interconnects also reduces losses and means about a 5%-7% decrease in power dissipation, he says. The DBC sandwiching the dies provide doublesided cooling capability, though initial applications using conventional heat sink approaches won t get the full advantage of it. To switch to double-sided cooling, the mechanical design of the inverter cooling system will have to be adapted, notes Marcinkowski. But it will soon be necessary to do that, to improve the heat transfer from more compact packages. The IBGT-and-diode co-pack can run at a 25 C higher junction temperature than the current typical 150 C. With the doublesided cooling, IR figures the device can potentially achieve as much as 80% higher current rating than a single-side cooled device with a maximum junction temperature rating of 150 C. Fabless iqxprz designs power modules for foundry production Also relatively new to the traditionally IDMdriven power device business is the rise of fabless companies and foundries, also expanding the options for module design and manufacture. The boom of investment in solar and wind energy in China attracted a crowd of new entrants to the market for inverters and their component power devices and modules. Many of these players had the expertise and capital to focus on only one step in what looked to be a big volume opportunity to bring down manufacturing costs in the power sector. Though the recent downturn in the renewable energy sector has hurt these suppliers, some are successfully expanding the power sector s options for lower cost production. One of these fabless module design companies now breaking into the European market is QXPRZ (pronounced IQ Express). The Manila-based company works closely with a local established power device assembly subcontractor to prototype and manufacture the devices in low volume. The business got its start in the alternative energy bubble five years ago, designing complex power modules using off-the-shelf discrete devices SuperSOT (Courtesy of iqxprz Power) for small inverter makers. With the plunge in renewable energy demand, the company has lately been focusing largely on smart power modules for the home appliance sector, integrating IC drivers with IGBTs and MOSFETs into compact packaging. VP and COO Cherie Sasan says the company strategy is targets small companies who need complex customized power modules in very small quantities, aiming to offer lower cost products than the technology-leading European module makers, but better quality than its fabless/foundry Chinese competitors. Most of our customers are in Europe, says Sasan. And most of our competition is in China. Most of our customers have used Chinese products before they turned to us. To make manufacture of the custom products more efficient, the company aims to use a standard plastic housing which has multiple different holes to accommodate different leads for different products, and a standard leadframe inside, whose different pins can be connected or not as needed. It also sticks to conventional technologies, producing some legacy products obsoleted by the big IDMs. We re not innovators, says Sasan, though she does note that the company is working on developing an alternative substrate material to AlN. Paula Doe for Yole Développement Jack Marcinkowski, Sr. Technical Marketing and Applications Manager, International Rectifier s Automotive Business Unit. Jack is responsible for development of power modules with focus on HEV and EV applications. Jack first joined IR in 2003 as an Applications Design Manager working for the Automotive Business Unit for 4 years and re-joined IR in July of Jack holds a MSEE degree from Technical University in Warsaw, Poland as well as an MBA degree from UCLA in Los Angeles, California. Cherie Sasan, Vice-president & COO, iqxprz Power Cherie worked in the semiconductor industry for more than 20 years. She joined iqxprz Power Inc in 2008 and is responsible for corporate strategy, business development and commercial operations. Prior joining iqxprz Power Inc, she was the Development Engineering Manager of Team Pacific Corporation. She holds a degree in Electronics and Communications Engineering from the Mapua Institute of Technology and is currently taking up MBA at the Ateneo de Manila-Regis University. 11

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES AGENDA RECON PACKAGING TECHNOLOGY FOR SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES Edward Law Senior Director Package Engineering, Operations and Central Engineering 1 OUTLINE Market dynamics Connectivity

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

SEMI 大半导体产业网 MEMS Packaging Technology Trend

SEMI 大半导体产业网  MEMS Packaging Technology Trend MEMS Packaging Technology Trend Authors Name: KC Yee Company Name: ASE Group Present Date:9/9/2010 1 Overview Market Trend Packaging Technology Trend Summary 2 2 MEMS Applications Across 4C Automotive

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION ALL SILICON SYSTEM INTEGRATION DRESDEN ASSID ALL SILICON SYSTEM INTEGRATION DRESDEN FRAUNHOFER IZM-ASSID

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing Technology & Manufacturing Laurent Bosson Executive Vice President Front End Technology & Manufacturing Manufacturing and Technology Strategy LEADING EDGE TECHNOLOGY + SHAREHOLDER VALUE TIME TO MARKET

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

WHICH SIDE ARE YOU ON? DOUBLE SIDED PROBING

WHICH SIDE ARE YOU ON? DOUBLE SIDED PROBING WHICH SIDE ARE YOU ON? DOUBLE SIDED PROBING Traditionally, devices with active regions on both sides of a wafer were limited to discrete devices. With advances in materials, functionality and packaging,

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Archive Keynote Address

Archive Keynote Address Proceedings Archive March 15-18, 2015 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Keynote Address 2015 BiTS Workshop Image: BCFC/iStock Burn-in & Test Strategies Workshop www.bitsworkshop.org March

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO November 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Packaging Challenges for High Performance Mixed Signal Products Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Content HPMS introduction Assembly technology drivers for

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. The Light on Board Company Document #: LA-970-063-00 Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. Who are we? Reflex designs and builds integrated parallel electrical-to-optical

More information

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications Giorgio Cesana STMicroelectronics Success Factors for new smart connected Applications

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

SKTM Socket Series Catalog High Speed Compression Mount

SKTM Socket Series Catalog High Speed Compression Mount SKTM Socket Series Catalog High Speed Compression Mount Ardent Design Support Sockets Overview Ardent Compliant Contact Technology Socket Types BGA/LGA QFN/QFP/MEMS Optical Plunge to Board Lid Types Ordering

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Epigap FAQs Part packges and form factors typical LED packages

Epigap FAQs Part packges and form factors typical LED packages 3. packges and form factors 3.1. typical LED packages Radiation from LEDs is generated by a semiconductor chip mounted in a package. LEDs are available in a variety of designs significantly influencing

More information

Application Strategic Focus

Application Strategic Focus Application Strategic Focus Georges Penalver Chief Strategy Officer 2 ST SAM Evolution by Application 3 % of ST SAM 2016 Contribution to ST SAM Growth (2016-2019) Wired Comm. 11% Wireless Comm. 13% Wireless

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

3DIC & TSV interconnects

3DIC & TSV interconnects 3DIC & TSV interconnects 2012 Business update Semicon Taiwan 2012 baron@yole.fr Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Semiconductor chip

More information

ELASTOMERIC CONNECTORS. the smart solution for high-volume interconnections in compact design

ELASTOMERIC CONNECTORS. the smart solution for high-volume interconnections in compact design ELASTOMERIC CONNECTORS the smart solution for high-volume interconnections in compact design A NICE SIMPLE, RELIABLE CONNECTION How do elastomeric connectors work? STAX elastomers are zero insertion force

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis

ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis Press Release ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis New submicron and nanoscale XRM systems and new microct system provide

More information

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group SiP Catalyst for Innovation SWDFT Conference Calvin Cheung ASE Group May 31, 2007 Outline Consumer Electronic Market > Consumer Electronics Market Trends > SiP Drives Innovation > SiP Category SiP - Challenges

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Managing the downturn, Ready for the Upswing

Managing the downturn, Ready for the Upswing Managing the downturn, Ready for the Upswing Scott McGregor President and Chief Executive Officer Agenda for today Managing the downturn, ready for the upswing Scott McGregor, President and CEO, Focus

More information

Silicon Photonics Session

Silicon Photonics Session Advanced automated packaging and testing equipment to allow high volume manufacturing Torsten Vahrenkamp Torsten.Vahrenkamp@ficontec.com Silicon Photonics Session www.ficontec.com Our mission / what we

More information

Panel Discussion Chair: Michael Huebner

Panel Discussion Chair: Michael Huebner Panel Discussion Chair: Michael Huebner FormFactor Inc. Panel members Panel Discussion Mark Ojeda (Spansion/Cypress) Panel: I/II Rey Rincon (Freescale) Panel: II Al Wegleitner (TI) Panel: I/II Clark Liu

More information

MicraGEM-Si A flexible process platform for complex MEMS devices

MicraGEM-Si A flexible process platform for complex MEMS devices MicraGEM-Si A flexible process platform for complex MEMS devices By Dean Spicer, Jared Crawford, Collin Twanow, and Nick Wakefield Introduction MicraGEM-Si is a process platform for MEMS prototyping and

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

Pushing the barriers of wafer level device integration: High-speed assembly, the case for MicroTape.

Pushing the barriers of wafer level device integration: High-speed assembly, the case for MicroTape. Pushing the barriers of wafer level device integration: High-speed assembly, the case for MicroTape. Gordon Christison Reel Service Ltd 55 Nasmyth Road Southfield Industrial Estate Glenrothes Scotland

More information

ALL SIGNALS ARE BORN AS STRAIGHT AND SIMPLE AS RAW SPAGHETTI. BUT THEY ENCOUNTER MANY OBSTACLES ON THE WAY TO THEIR FINAL DESTINATION.

ALL SIGNALS ARE BORN AS STRAIGHT AND SIMPLE AS RAW SPAGHETTI. BUT THEY ENCOUNTER MANY OBSTACLES ON THE WAY TO THEIR FINAL DESTINATION. annual report 2000 e-material solution provider TDK Corporation Signals Crossed? ALL SIGNALS ARE BORN AS STRAIGHT AND SIMPLE AS RAW SPAGHETTI. BUT THEY ENCOUNTER MANY OBSTACLES ON THE WAY TO THEIR FINAL

More information

High-bandwidth CX4 optical connector

High-bandwidth CX4 optical connector High-bandwidth CX4 optical connector Dubravko I. Babić, Avner Badihi, Sylvie Rockman XLoom Communications, 11 Derech Hashalom, Tel-Aviv, Israel 67892 Abstract We report on the development of a 20-GBaud

More information

Quilt Packaging For Power Electronics

Quilt Packaging For Power Electronics Quilt Packaging For Power Electronics 21 March 2013 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction Quilt Packaging (QP) technology Concept Examples Advantages

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz, M.Beesley AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models Technology industry Reporting Insights Advisory Services Whitepaper by TIRIAS Research June 20, 2017 There has never been a better time to build your own custom application specific integrated circuit

More information

New PHD Technology Yields Higher Density, Lower Optical Loss Interconnect Solutions

New PHD Technology Yields Higher Density, Lower Optical Loss Interconnect Solutions New PHD Technology Yields Higher Density, Lower Optical Loss Interconnect Solutions Current fiber optic technology fails to deliver a High Performance Interconnect solution which provides service and maintainability,

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology Technology Platform and Trend for SiP Substrate Steve Chiang, Ph.D CSO of Unimicron Technology Contents Unimicron Introduction SiP Evolution Unimicron SiP platform - PCB, RF, Substrate, Glass RDL Connector.

More information

SEMICONDUCTOR. fab equipment

SEMICONDUCTOR. fab equipment SEMICONDUCTOR fab equipment BEFORE, GROWTH IN THE ELECTRONICS MARKET WAS DRIVEN BY THE INCREASING USE OF COMPUTERS Silicon-based microprocessor 6 TODAY, IT IS BEING LED BY THREE MAIN FACTORS MOBILE COMMUNICATIONS

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology

Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology Ila Pal - Ironwood Electronics Introduction Today s electronic packages have high

More information

3D Power Electronics Packaging and Additive Manufacturing

3D Power Electronics Packaging and Additive Manufacturing 3D Power Electronics Packaging and Additive Manufacturing Presented by Dr. Doug Hopkins NCSU DCHopkins@NCSU.edu www..org 2015 Douglas C Hopkins Packaging v. Manufacturing PACKAGING: a Design Process MANUFACTURING:

More information

Application Development for Flexible Hybrid Printed Electronics

Application Development for Flexible Hybrid Printed Electronics Application Development for Flexible Hybrid Printed Electronics Lok Boon Keng, Yusoff Bin Ismail, Joseph Chen Sihan, Cheng Ge, Ronnie Teo Large Area Processing Programme Emerging Application Division Outline

More information

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5 LQFP Low Profile Quad Flat Pack Packages (LQFP) Amkor offers a broad line of LQFP IC packages designed to provide the same great benefits as MQFP packaging with a 1.4 mm body thickness. These packages

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information