Design Verification Challenges Past, Present, and Future

Size: px
Start display at page:

Download "Design Verification Challenges Past, Present, and Future"

Transcription

1 Design Verification Challenges Past, Present, and Future Wally Rhines Chairman and CEO Mentor Graphics Corp March 1, 2016

2

3 Design Productivity Grew 5 Orders of Magnitude Since ,000,000,000,000, ,000,000,000,000 10,000,000,000,000 1,000,000,000, ,000,000,000 Quantity 10,000,000,000 1,000,000, ,000,000 10,000,000 1,000, ,000 10,000 Transistors Produced Total Electronic Engineers Source: Technology Research Group EDA Database, 1986, EDA TAM, 1989 & Gartner/Dataquest 2005 Seat Count Report, Gary Smith EDA, 2013 Seat Count Analysis, VLSI Research, Transistors Produced Analysis 3

4 Cost Learning Curve Continues With or Without Moore s Law 3.E+01 Revenue/Transistor ($) 2.E-01 8.E-04 4.E-06 2.E-08 1.E E E E E E E E E E+20 Cumulative Transistors Shipped Semiconductor Learning Curve Adjusted for Inflation 4 Source: VLSI Research, SIA, Federal Reserve Note: Revenue adjusted for Inflation

5 Cost of EDA Software Decreases at the Same Rate as the Revenue per Transistor 1.E-04 Learning Curve Revenue ($)/Transistor Revenue per Transistor 1.E-07 1.E-10 1.E-13 1E+13 1E+15 1E+17 1E+19 1E+21 Note: EDA Cost Consists of EDA License and Maintenance revenue adjusted for Inflation Cumulative Transistors Shipped 5 Source: VLSI Research, EDAC Market Statistics Service, Federal Reserve

6 IP Reuse Has Driven a Large Share of Recent Design Productivity Gains 100 Number of IP Blocks/Chip * 2016* 2017* 2018* 6 Source: Semico Research Corp. *Forecast

7 Demand for Design Engineers Grows Slowly Mean Peak Number of Engineers/Design Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

8 Verification Engineers Increase at 3.5X the Rate of Increase of Designers Mean Peak Number of Engineers/Design Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

9 Upper Bound of Verification Cycle Requirements Increases 2 x ASIC SRAM ASIC ASIC DSP ANALOG x = memory bits + flip-flops + latches + I/O 9

10 VERIFICATION 0.0

11 VERIFICATION 0.0 Large Scale Integration (LSI) Evolves to VLSI SSI/MSI design and verify Manual design and layout Fabricate it Test it Re-design it Repeat 11

12 VERIFICATION 0.0 Transistor-Level Simulation CANCER Ron Roher SPICE Simulation Program with Integrated Circuit Emphasis L.W. Nagel and D.O. Pederson Presented April 12,

13 Larger Designs Required Gate-Level Simulation VERIFICATION 0.0 Mentor Graphics 1982 IDEA Station QuickSim QuickSim Performance: Workstation dependent Capacity: Workstation dependent 100K+ gates when gate-level models are used, much larger when functional or behavioral modeling methods are used 13 Source: Mentor Graphics

14 VERIFICATION 1.0

15 VERIFICATION 1.0 VHDL Hardware Description Language Source: IEEE Design & Test 1986 Source: DAC 1983 Source: IEEE Design & Test 1986 IBM, Texas Instruments & Intermetrics awarded contract 1983 VHSIC Hardware Description Language VHDL 7.2 released in 1985 VHDL became IEEE Standard 1076 in 1987 Source: IEEE

16 VERIFICATION 1.0 Verilog Hardware Description Language Gateway Design Automation 1985 Verilog HDL created by Phil Moorby in 1984 Acquired by Cadence in 1989 Verilog became IEEE Standard 1364 in Source: IEEE Design & Test of Computers, August 1985

17 VERIFICATION 1.0 Ever-Improving Compute Performance 17 Source:

18 RTL Simulation Accelerates Independent of Hardware Performance VERIFICATION Relative Performance (Q1) 2002(Q4) Source: Mentor Graphics

19 VERIFICATION 2.0

20 VERIFICATION 2.0 Language Evolution Simulation Era RTL Era Testbench Era HILO System HILO Verilog Verilog 2001 SuperLog System Verilog Vera e SystemC VHDL 83 VHDL 87 VHDL 93 VHDL 2002 VHDL 200x 20 Source: Mentor Graphics

21 VERIFICATION 2.0 Industry Converges on IEEE 1800 IEEE unifies Verilog standards efforts Richard Goering 6/24/2004 3:00 PM EDT SANTA CRUZ, Calif. Putting to rest fears of a Verilog language schism, the IEEE has decided to form a single working group that will encompass both SystemVerilog and the further evolution of the IEEE 1364 Verilog language standard. The move follows criticisms of Accellera s decision to take SystemVerilog to a new IEEE working group rather than the existing 1364 committee. In May, Accellera voted to take SystemVerilog to a new working group under the 21

22 SystemVerilog Becomes Mainstream Verification Language VERIFICATION % Design Projects 70% 60% 50% 40% 30% 20% % 0% VHDL Verilog Synopsys Vera System C SystemVerilog Specman e C/C++ OTHER Testbench * Multiple answers possible 22 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study - Excludes FPGA Devices

23 VERIFICATION 2.0 Standardization in Base Class Libraries 70% 56% growth Design Projects 60% 50% 40% 30% 20% % 0% Accellera UVM OVM Mentor AVM Synopsys VMM Synopsys RVM Cadence erm Cadence URM None/Other 23 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study - Excludes FPGA Devices

24 VERIFICATION 2.0 Verification Is a $1 Billion Market $724M $109 $188 $1,002M $143 $ CAGR Total 9% Formal 7% Emulation 16% $427 $515 Simulation* 5% *Includes dynamic RTL simulation tools Source: EDAC Market Statistics Service

25 VERIFICATION 3.0

26 The Emergence of New Layers of Verification Requirements Brought on by the System Era Software Domains Verification Layers Security/Safety Domains Power Domains Performance Domains Clock Domains Functional Domains 26

27 Emergence of Multiple Engines to Address These New Requirements Virtual Prototype Formal Simulation Emulation FPGA Prototype 27

28 Virtual Prototype Formal Simulation Emulation FPGA Prototype 28

29 Integrating Cross-Domain Systems: An Automotive Example Software Market Drivers Hardware Complexity High Cost of Failure IVI Driver Information ADAS Telematics Car Network Integrating cross-domain systems exposes emergent behavior earlier in the design process; Functional, Performance, Safety/Security, Connectivity 29

30 Power Verification Challenges Hypervisor/OS control of power management Application-level power management Operation in each system power state Interactions between power domains Hardware power control sequence generation Transitions between system power states Power domain state reset/restoration Power domain power down/power up 0% 10% 20% 30% 40% 50% 60% 70% 80% Design Projects * Multiple answers possible 30 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

31 Managed Power Growing at the Chip Level 19% Increase in Two Years 80% 70% Actively Manage Power No Power Management Design Projects 60% 50% 40% 30% 20% Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

32 Verification and Architectural Tradeoffs of Power/Performance Software SoC TLM Model TLM TLM TLM TLM SoC architecture tradeoffs Timing/ Power Analysis Explore IP micro-architecture alternatives RTL 32

33 Verification and Architectural Tradeoffs of Power/Performance C++ / SystemC Area or Power IP Block X RTL X Local Minimum Optimization Scope Global Minimum X Architectural Scenarios 33

34 Virtual Prototype Formal Simulation Emulation FPGA Prototype 34

35 Number of Clock Domains Increases with Design Size Number of Clock Domains < 5M 5-80M > 80M Gates 35 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

36 Application-Specific Formal Includes Checking Clock Domain Crossings Clock Domain A Clock Domain B Synchronizer Identify metastability issues for signals crossing multiple clock domains due to improper synchronization Now scales to the full chip level 36

37 Formal Property Checking Used for Larger Chips 40% 30% 37% Design Projects 20% 10% 15% 25% 0% < 5M 5M - 80M > 80M Design Size 37 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

38 Formal Technology Adoption 30% 25% % 25% 26% Design Projects 15% 10% 13% 21% 5% 0% Formal property checking Automatic formal applications 38 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

39 Today s Application-Specific Formal Identify Deadlock and Finite State Machine Design Issues Identify Full Chip SoC IP Connectivity Errors Improve Simulation Coverage by Identifying Unreachable Code Identify X-Propagation RTL Issues 39

40 Virtual Prototype Formal Simulation Emulation FPGA Prototype 40

41 Simulation Growth in Requirements Metrics Stimulus quality: Code coverage Design activity: Toggle coverage Design quality: Functional coverage, Assertions, System-level analysis Domains Gate level RTL Low power Analog-digital Stimulus Directed tests Constrained random Verification IP Graph based Software DUT VHDL, Verilog Environment Debug Capacity Data management Regression efficiency 41

42 What s Next: Answering System-level Questions With Data Mining and Analytics Q: Can you show me how often does a snoop request occur to a CPU master while that master is executing a WriteBack command? A: Exactly 35 times during test2_100ms and over 3000 for test2_full Count M 10M 15M 20M 25M 30M 35M 40M 45M 50M 55M Cycle 42

43 Virtual Prototype Formal Simulation Emulation FPGA Prototype 43

44 Pre-ICE Age 44

45 ICE Age Applications Age Test Bench Acceleration Age Physical Target Virtualization Age Basic Functionality CPU/GPU Networking Multimedia Storage Mobile Automotive IOT DFS ICE Age CPU/GPU

46 Emulation Grows as Clock-Speed Scaling Stalls Emulation Required to Extend Performance 46 Source: Nature.com Feb 2016

47 Acceleration Age Applications Age ICE Age Acceleration Age CPU/GPU Stimulus/ Tests 1 st Channel 2 nd Channel 3 rd Channel 4 th Channel 5 th Channel CPU/GPU Networking Multimedia Storage Mobile Automotive IOT DFS 16 th Channel DUT CPU/GPU

48 Virtualization Age Data Center Friendliness Virtualization Age ICE Age Global Access Virtual Transactors CPU/GPU CPU/GPU Networking Multimedia Storage CPU/GPU

49 Emulation Moves from Lab to the Data Center 49

50 Embedded Software Development Headcount Surges past Hardware Verification 800 Engineering Years Software Engineering Hardware Engineering nm 65nm 45/40nm 28nm 20nm 16/14nm 50 Source: International Business Strategies, Inc. (IBS), 2013

51 Emulation With Trace Makes Software Debug Interactive and Cost-Effective JTAG 1 MHz One user at a time Trace-Based MHz ~10 Simultaneous users TRACE FILE 51

52 Applications Age Applications Age Optimized Execution Power ICE Age Coverage/ Assertions Deterministic ICE SW Debug CPU/GPU Peripheral Solutions DFT Visualization Enterprise Server CPU/GPU Networking Multimedia Storage Mobile Automotive IOT Design for Security CPU/GPU

53 Virtual Prototype Formal Simulation Emulation FPGA Prototype 53

54 Regression Testing and Application Software Drive FPGA-Based Prototyping 54

55 FPGA Prototyping Challenges Other (Please specify) Lack of coverage metrics HW/SW co-debugging Internally stimulating (irritating) the design Stimulating the external ports of the design Time to bring up prototype Capacity Issues Performance Debug Visibility Clocking Issues Mapping ASIC into FPGAs 0% 10% 20% 30% 40% 50% 60% 70% 2014 Design Projects * Multiple answers possible 55 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

56 FPGA Prototypes Still Used for Regression Testing and Application Software Verification 45% 40% Design Projects 35% 30% 25% 20% 15% 10% % 0% Hardware/software co-verification HW Acceleration & Emulation FPGA prototyping 56 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

57 Emulation Usage Grows as Design Size Increases 60% 57% < 5M 5-80M > 80M Non-FPGA Study Participants 50% 40% 30% 20% 10% 18% 50% 32% 45% 28% 0% HW Acceleration/Emulation FPGA Prototyping 57 Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

58 58

59 Historically Each Engine Required its Own Verification Environment Bringing Multiple Verification Silos Together 59

60 What Is Still Needed? Stimulus Measurement & Analysis Debug Users should not be able to tell if their job was executed on a simulator, emulator, or prototype Verification Infrastructure Bill Hodges, Intel PPA Austin MTV Conference December 2015 Virtual Prototype Formal Simulation Emulation FPGA Prototype An environment where the verification process is completely abstracted from the underlying verification engines 60

61 The Goal of Portable Stimulus STIMULUS SIMULATION EMULATION FPGA PROTOTYPE IP BLOCK SUBSYSTEM FULL SYSTEM 61

62 Evolution of the Portable Test Bench Validation Engineer C/C++ SW Test Engineer C/C++ Users Verification Engineer SystemVerilog SW Developer Embedded C/C++ Architect SystemC Virtual Platform Simulation Emulation FPGA Prototype Platforms Silicon 62

63 Portable Stimulus Working Group Single input specification Enable test creation automation Reusable across all platforms Tools generate specifics 63

64 64

65 65

66 Soon, the Internet of Things Will Expand the Security Need to Almost Everything We Do *Rod Beckstrom, CEO and President of ICANN, former Director of the National Cyber Security Center 66 Source: Secure Connections for Smart Cars, Kurt Sievers NXP March2014

67 Levels of Security Concerns for Chip Designers Malicious Logic Inside Chip (Trojan Detection) Counterfeit Chips (Supply-Chain Security) Side-Channel Attacks (On-Chip Countermeasures) Use of hardened IP or altered design to resist attack Simulation of attacks to identify weaknesses Over-produced, re-marked, cloned, recycled or otherwise unauthorized ICs Distributed through unauthorized distributors Motivated by Profit Static Tests Analyze RTL (unknown unknowns) Dynamic Detection Insertion of logic to analyze runtime activity 67

68 EDA Will Become the Core of the Solution VERIFICATION TRADITIONAL ROLE Verifying that a chip does what it is SUPPOSED to do EMERGING NEW ROLE Verifying that a chip does nothing it is NOT supposed to do 68

69 Is The Desired Path The ONLY Path? AXI Bus PHY SDRAM AXI Bridge Ethernet Controller SDRAM Controller System Interconnect 0 RAM RAM DMA DMA Key Storage RAM System Interconnect 1 μc Encryption Engine 69

70 70

71 Safety-Critical Design and Verification No harm to systems, their operators, or to bystanders Certification standards ISO Automotive IEC Medical DO-254 Aerospace 71

72 ISO Functional Safety Standard Safe system development processes Provide evidence that all reasonable system safety objectives are satisfied Avoid risk of systematic failures or random hardware failures by appropriate requirements and processes 72

73 Requirements Tracking for Safety-Critical Design Auditor checks Each requirement verified Identify specific test used to verify it Automatic requirements tracking available for many years REQ 1 FUNC 1 FEATURE 1 REQ 2 Covers FUNC 2 FEATURE 2 REQ 3 FUNC 3 FEATURE 3 REQ 4 FUNC 4 FEATURE 4 REQ 5 Covers FUNC 5 FEATURE 5 Systems Specification Design Specification Design Implementation 73

74 Is your System Safe in the Presence of a Fault? Fault injection Determines if response of system matches specification, despite presence of faults Helps developers understand the effects of faults on target system behavior Assess overall risk Formal-based fault injection/verification Exhaustively verifies safety aspects of design due to faults 74

75 Summary Despite design re-use, verification complexity continues to increase at 3-4X the rate of design creation Increasing verification requirements drive new capabilities for each type of verification engine Continuing verification productivity gains require EDA to: Abstract the verification process from the underlying engines Develop common environments, methodologies and tools Separate the what from the how Verification for SECURITY and SAFETY is providing another major wave of verification requirements 75

76 w w w. m e n t o r. c o m

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

IEEE-SA Internet of Things - Security & Standards

IEEE-SA Internet of Things - Security & Standards IEEE-SA Internet of Things - Security & Standards Srikanth Chandrasekaran IEEE Standards Association MOBILE WORLD CONGRESS, SHANGHAI JUNE 2018 What does the IEEE Standards Association (IEEE-SA) do? Vision:

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD 6 Month Industrial Internship in VLSI Design & Verification" with Industry Level Projects. CURRICULUM Key features of VLSI-Design + Verification Module: ASIC & FPGA design Methodology Training and Internship

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers THE FASTEST VERIFICATION ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers White Paper April, 2010 www.eve-team.com Introduction Moore s law continues to drive

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects. Tevatron Technologies Prívate Limited

6 Month Certificate Program in VLSI Design & Verification with Industry Level Projects. Tevatron Technologies Prívate Limited 6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects.. : Tevatron Technologies Prívate Limited Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy!

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

Design and Verification of Slave Block in Ethernet Management Interface using UVM

Design and Verification of Slave Block in Ethernet Management Interface using UVM Indian Journal of Science and Technology, Vol 9(5), DOI: 10.17485/ijst/2016/v9i5/87173, February 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Verification of Slave Block in Ethernet

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. Glossary ADC, A/D Analog-to-Digital Converter. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs. AMBA Advanced Microcontroller Bus Architecture.

More information

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Ashish Hari ashish_hari@mentor.com Suresh Krishnamurthy k_suresh@mentor.com Amit Jain amit_jain@mentor.com Yogesh

More information

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017 Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017 Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

100M Gate Designs in FPGAs

100M Gate Designs in FPGAs 100M Gate Designs in FPGAs Fact or Fiction? NMI FPGA Network 11 th October 2016 Jonathan Meadowcroft, Cadence Design Systems Why in the world, would I do that? ASIC replacement? Probably not! Cost prohibitive

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

JL Gray July 30, 2008

JL Gray July 30, 2008 Santa Claus, the Tooth Fairy and SystemVerilog Interoperability JL Gray July 30, 2008 JL.GRAY@VERILAB.COM www.coolverification.com 1 2 Agenda Intro Looking Back: 1998 Present Methodology and Simulator

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Building a complex signal processing function requires a deep understanding of the signal characteristics

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017 Portable Stimulus Specification The Next Big Wave in Functional Verification Staffan Berg European Applications Engineer Digital Functional Verification September 2017 AGENDA Why Portable Stimulus? What

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Predicting the Next Wave of Semiconductor Growth

Predicting the Next Wave of Semiconductor Growth Predicting the Next Wave of Semiconductor Growth Wally Rhines President and CEO Mentor, a Siemens Business January 16, 2018 Electronic Equipment Revenue ($Billions) New Semiconductor Applications Drive

More information

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation Driving Semiconductor Industry Optimization From U.S.-Taiwan-China Relationships Walden C. Rhines CHAIRMAN & CEO Mentor Graphics Corporation U.S.-Taiwan-China Semiconductor Optimization Growing the total

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2 Bulletin of the Transilvania University of Braşov Vol. 11 (60) No. 1-2018 Series I: Engineering Sciences SPECMAN-E TESTBENCH Al. GROSU 1 M. CARP 2 Abstract: The scope of this document is to present a Verification

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

Cycle Based Simulation. Design Techniques

Cycle Based Simulation. Design Techniques Cycle Based Simulation Design Techniques Code Coverage / Cycle Based Simulation / Property Checking - ABV RTL Verification 2 RTL Verification Acceleration Emulation Circuit Validation Testbench Code Coverage

More information

Revolutioni W zi h Wn e hgn e n F a Mi i s liu lsir u e ro e Cri I ti s Ic N al o t V A e n ri n O fi p c ti a o ti n oo

Revolutioni W zi h Wn e hgn e n F a Mi i s liu lsir u e ro e Cri I ti s Ic N al o t V A e n ri n O fi p c ti a o ti n oo Formal Verification Revolutionizing Mission Critical Verification When Failure Is Not An Option Formal-based Security Verification www.onespin.com March 2016 HW Security Issues More Common Than Thought

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Jump-Start Software-Driven Hardware Verification with a Verification Framework

Jump-Start Software-Driven Hardware Verification with a Verification Framework Jump-Start Software-Driven Hardware Verification with a Verification Framework Matthew Ballance Mentor Graphics 8005 SW Boeckman Rd Wilsonville, OR 97070 Abstract- Software-driven hardware verification

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

OVM/UVM Update. Universal Verification Methodology. Open Verification Methodology. Tom Fitzpatrick Verification Technologist Mentor Graphics Corp.

OVM/UVM Update. Universal Verification Methodology. Open Verification Methodology. Tom Fitzpatrick Verification Technologist Mentor Graphics Corp. Open Verification Methodology Universal Verification Methodology OVM/UVM Update Tom Fitzpatrick Verification Technologist Mentor Graphics Corp. Sharon Rosenberg Solutions Architect Cadence Design Systems

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge Samsung and Cadence Samsung and Cadence implemented a structured approach for the verification of Samsung s mobile application processor Exynos, as the chips grow through 150 million gates. The early results

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software 01 1 Electronic Design Automation (EDA) 01 1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

SoC / ASIC / FPGA / IP

SoC / ASIC / FPGA / IP Top Notch Solution Providers in design & Verification for SoC / ASIC / FPGA / IP Delivering high quality services with technical excellence, continuous innovation, passion and unyielding integrity. KACPER

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems Electronics Systems Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems The Technological Trend # of trans. 100M 75M 50M Moore s Law (#

More information

Simulation-Based FlexRay TM Conformance Testing an OVM success story

Simulation-Based FlexRay TM Conformance Testing an OVM success story Simulation-Based FlexRay TM Conformance Testing an OVM success story Mark Litterick, Co-founder & Verification Consultant, Verilab Abstract This article presents a case study on how the Open Verification

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics INTRODUCTION Emulators, like Mentor Graphics Veloce, are able to run designs in RTL orders of magnitude faster than logic

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure

Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure Co-Emulation of Scan-Chain Based Designs Utilizing SCE-MI Infrastructure Bill Jason Tomas 1, Yingtao Jiang 2 and Mei Yang 2 1 Cadence Design System, Inc., San Jose, CA, USA 2 Department of Electrical and

More information

Advanced Digital Verification Nathan Nipper. Cadence NCSim Demonstration John Martiney. Harris Corporation, 10/16/2007. assuredcommunications

Advanced Digital Verification Nathan Nipper. Cadence NCSim Demonstration John Martiney. Harris Corporation, 10/16/2007. assuredcommunications Advanced Digital Verification Nathan Nipper Cadence NCSim Demonstration John Martiney Harris Corporation, 10/16/2007 What is Verification Functional Verification is the task of verifying that the logic

More information

Assertion Based Verification of AMBA-AHB Using System Verilog

Assertion Based Verification of AMBA-AHB Using System Verilog Assertion Based Verification of AMBA-AHB Using System Verilog N.Karthik M.Tech VLSI, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. M.Gurunadha Babu Professor

More information

Portable VHDL Testbench Automation with Intelligent Testbench Automation by Matthew Ballance, Mentor Graphics

Portable VHDL Testbench Automation with Intelligent Testbench Automation by Matthew Ballance, Mentor Graphics Portable VHDL Testbench Automation with Intelligent Testbench Automation by Matthew Ballance, Mentor Graphics We ve come a long way since digital designs were sketched as schematics by hand on paper and

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 3316R P. F. Taylor Hall 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

FPGA chip verification using UVM

FPGA chip verification using UVM FPGA chip verification using UVM Ravi Ram Principal Verification Engineer Altera Corp Charles Zhang Verification Architect Paradigm Works Outline Overview - Verilog based verification environment - Why

More information

Qualification of Verification Environments Using Formal Techniques

Qualification of Verification Environments Using Formal Techniques Qualification of Verification Environments Using Formal Techniques Raik Brinkmann DVClub on Verification Qualification April 28 2014 www.onespin-solutions.com Copyright OneSpin Solutions 2014 Copyright

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC

Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC Take a step down the stack beyond optical networks, switches, routers and software-defined networking to consider the networking

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information