Shaping Solutions in Advanced Semiconductor Assembly and Test. Pranab Sarma, Product Engineering Manager

Size: px
Start display at page:

Download "Shaping Solutions in Advanced Semiconductor Assembly and Test. Pranab Sarma, Product Engineering Manager"

Transcription

1 Shaping Solutions in Advanced Semiconductor Assembly and Test Pranab Sarma, Product Engineering Manager

2 STATS ChipPAC Overview 2

3 What we do total turnkey solutions Wafer design Outsourced Semiconductor Assembly and Test Services ( OSAT ) Wafer probe & bump Package design & simulation Package assembly z Bonding die on a substrate Wafer fabrication z (Bump) (Sort) Wire bonding to form electrical connection Die singulation/ wafer singulation z Encapsulation of integrated circuits into packages Multiple applications 3 QA and final chip testing

4 Semiconductor growth by market segment (US$B) $150 Computing/Data storage ($140.1) $125 Market Size by 2011 $100 $75 $50 $25 Consumer 24.6% Computing 18.7% Communications 56.7% STATS STATS ChipPAC market mix mix in in Mil/Civil Areo ($4.8) Growth and and scale scale in in 3C s 3C s Consumer ($60.4) Communications ($107.8) Industrial ($33.1) By 2011, total semi market $373.5B Automotive ($27.3) total OSAT market $30.4B $ Storage 7.0 ($13.3) CAGR ( ) % We are well aligned to high growth market segments 4

5 Our positioning and strategy Excellence in backend turnkey SATS solutions (Bump, Sort, Assembly, Final Test) Maintain capital discipline (Strong balance sheet & cash flow) Invest in technology and focus on long term growth products (3D wafer level interconnect, CSMP and etc) Positioning and Strategy Global strategic footprint that best serves our customers (Singapore, China, Korea, Malaysia, Taiwan, US) Technology differentiation in integration (3D, SiP, FC, WLCSP, RF & mixed signal testing) 5

6 Established presence in the world s most strategic semiconductor markets Icheon, Korea: 587,000 ft 2 high-end facility specializes in advanced array packaging such as Flip- Chip, Stacked Die, Chip Scale Packaging and BGA. Second 199,000 ft2 facility expected to be ready in second half Milpitas and San Diego, CA: 34,000 ft 2 and 20,000 ft 2 respectively, pre-production test houses, provide complete semiconductor test services. Offers new product integration support, final test and other high volume preparatory services Sales R&D Manufacturing Shanghai, China: 422,000 ft 2 facility provides wafer sort, packaging and test services. Second 500,000 ft 2 facility expected to be completed in 2Q ,000 ft 2 operation in Song Jiang District will be focused on 200mm gold bumping and wafer sort services for LCD driver ICs. Kuala Lumpur, Malaysia: 488,000 ft 2 facility provides high volume packaging and test services for a full range of Mixed-Signal / RF test and Power Discrete devices Hsin-Chu Hsien, Taiwan: Winstek, subsidiary with 220,000 ft 2 facility, provides wafer probe services and final test. Hsin-Chu Hsien, Taiwan: 7,000 ft 2 facility specializes in 300mm wafer electroplated solder bump Singapore: Corporate Headquarters 594,000 ft 2 facility with state-ofthe-art equipment, class 10K clean room. Provides full turnkey services including wafer probe, packaging, final testing and drop shipment Global scale, unrivalled positioning 6

7 STATS ChipPAC is the leader in 3D packaging Feature rich end products required more silicon in less space 3D packaging takes advantage of the vertical axis to dramatically increase silicon density 3D packaging is enabling the digital revolution in communication and consumer products MEMS MIC Audio PU FLASH FLASH FM Radio Digital Baseband SRAM Power Mgmt Image Sensor Analog Baseband BT Slim profile handset in the market RF TX PA FEM Package-on-Package (PoP) 6 die stack capability 7 Handset layout block diagram Trend towards customized packaging continues as integration of silicon becomes more complex

8 STATS ChipPAC s flip chip, gold bump and wafer sort complementing the turnkey services 300mm solder bumping and gold bump lines co-located with TSMC foundries 12 Solder bump TSMC Fab 7 Hsin-chu 8 Gold bump TSMC Songjiang Broad technology offering Wafer processes (bumping, RDL, IPD, WLCSP) fccsp (single die and stacked dies) fcbga (bare die, lidded, multi-die, SiP) Well engaged with leading customers GPU graphics & ASIC IDM/fabless companies LCD Driver IC fabless companies Leveraging 3D technology for emerging portable and consumer product applications Game consoles, portable PC, LCD HDTV WLCSP fcqfn fclfbga-sd2 fcbga fcbga-mp RDL Solder bump Gold (Au) bump Resistor Capacitor Inductor Integrated passive devices 8

9 Deliver the broadest and most comprehensive test capability Type of Test Mixed signal High-end digital Radio frequency 3D/Memory Position test solution to enable turnkey strategy Yes Yes Yes Yes Leverage expertise and scale SCS & SCM SCK & SCS SCS & SCM SCK & SCC Utilize test R&D as key differentiator for turnkey Yes Yes Yes Yes Breadth of application types Breadth of package types MCU, Mixer, CODEC, Tunner & Switches QFN, QFP, BGA & CSP CPU, GPU, DSP, PHY, MAC, ASIC & ASSP FCBGA, FBGA, FBGA-SD, BGA, CSP & WLCSP Transceiver, Receiver, Transmitter, RF FEM & RFIC QFN, CSMP, SiP & WLCSP Memory Card, NOR & NAND Flash FCBGA, FBGA- SD, PoP, PiP & MCC Lowest cost of test Multi-site setup Multi-site setup Multi-site setup High parallel>320 Alignment with high growth market segment PC, Communication & Consumer 3-D memory testing in traction to support next generation 3-D packages PoP PiPm PiPs 9

10 Technology differentiation in integration IP Alignment to Market Process Patents Published Wafer process, wafer thinning, die attach, molding, packaging technique Laminate Package 3D Stack Package Flip Chip, SIP Packages Others Leadframe Package 3D Leadership 3-D wafer interconnect Energy saving, small form factor Flip chip enabling technologies PoP PiPS Flip-chip LFBGAm USPTO* IP Filing 117 filed 37 issued Smaller footprint, high integration 235 filed 43 issued High performance, high density 2005 *United States Patent and Trademark Office

11 Our leadership in shaping solutions to achieve product customization for our customers Leading enabling technologies Advanced packaging Broad test offering Substrate Solder bump Gold bump VFBGA-T LFBGA-SD LFLGA ROOS CATALYST VERIGY Wire bond Stacked dies IPD TFLGA TBGA LFBGA-SiP LTX FUSION FLEX ADVANTEST Shielding RDL + + QFN/BCC PoP/PiP Seamless integration UFLEX HP93K/PS800 PoP/PiP for BB/MAC + Flash FCBGA for GPU LFBGA for CPU Memory card packaging TBGA for MPU QFN/BCC for TXVR Customized solutions for our customers 11

12 Our market focus High growth markets Wireless 31% CAGR Consumer -22% CAGR PC/Storage 18% CAGR Wireline 14% CAGR Automotive 15% CAGR Note: CAGR period is five years ( ) Source: Gartner DQ Dec 06 12

13 Pb Free Conversion Status 13

14 Pb Free Roadmap 70% of laminate volume is Pb free. - All new products are Pb free. Of the remaining non Pb free products 80% are PBGA. - The BOM is Pb free except the solder ball that is Sn-Pb. -These are legacy products and will be supported based on demand. - New designs will generally move to Pb free. Requirement for being ROHS compliant and customer demand driving the push for higher conversion rate to Pb free. - STATS ChipPAC s product focus is more focused towards high growth markets that is driving the conversion to Pb free and green solutions. - Current business demands encourage investments in infrastructure for Pb free solution and discourages long term investments for supporting leaded solutions. STATS ChipPAC has done extensive studies comparing eutectic and Pb free solution indicating that Pb free solutions are at par or better than eutectic. 14

15 Pb Free Program Better For Business Better For Environment Reliability Overview Qualified major package families internally and at customers. Completed and passed the following tests: - MRT 260C, 260C and specific customer conditions. - PCT (336 Hrs) - TC Condition C (1000 cycles) - HTS (1000 Hrs) - 85C/85% RH without Bias Passed BLR Tests with different Pb-free alloys. Passed Aging (Intermetallic) and Bend Tests. 15

16 Overview: Package Level Reliability Reliability Target 16

17 Board Level Reliability 17

18 Board Level Reliability 18

19 Board Level Reliability 19

20 Board Level Reliability 20

21 Board Level Reliability 21

22 Pb Free Reliability Study Conclusion Lead-free Solder Joint Reliability is Superior. No Difference in the Reliability Among the Various Lead-free Solder Joints Tested So Far. In General, Area Array Packages and Leadframe Packages Exhibit Acceptable Thermal Fatigue Resistance. Based on Internal Study, Customer Feedbacks, and Market Conditions, Conversion to Lead-free Offers Better Advantages Compared to Sn-Pb. 22

23 The Road Ahead STATS ChipPAC is Committed to Continuous Conversion to Lead-free Solutions. - Existing customers will be supported during the life of the legacy products, however new designs will be continuously converted to Lead-free solutions. STATS ChipPAC is Ready for ROHS Implementation. Lead-free Solution is Better for Business and Better for Environment. 23

24 Thank You 24

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

SEMI 大半导体产业网 MEMS Packaging Technology Trend

SEMI 大半导体产业网  MEMS Packaging Technology Trend MEMS Packaging Technology Trend Authors Name: KC Yee Company Name: ASE Group Present Date:9/9/2010 1 Overview Market Trend Packaging Technology Trend Summary 2 2 MEMS Applications Across 4C Automotive

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Vinayak Pandey, Vice President Product & Technology Marke8ng. May, 2017

Vinayak Pandey, Vice President Product & Technology Marke8ng. May, 2017 Vinayak Pandey, Vice President Product & Technology Marke8ng May, 2017 Overview of JCET Group Founded in 1972 and listed on Shanghai Stock Exchange in 2003 Largest OSAT in China and 3 rd largest OSAT in

More information

E. Jan Vardaman President & Founder TechSearch International, Inc.

E. Jan Vardaman President & Founder TechSearch International, Inc. J Wednesday 3/12/14 11:30am Kiva Ballroom TRENDS IN WAFER LEVEL PACKAGING: THIN IS IN! by E. Jan Vardaman President & Founder TechSearch International, Inc. an Vardaman, President and Founder of TechSearch

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group SiP Catalyst for Innovation SWDFT Conference Calvin Cheung ASE Group May 31, 2007 Outline Consumer Electronic Market > Consumer Electronics Market Trends > SiP Drives Innovation > SiP Category SiP - Challenges

More information

FO-WLP: Drivers for a Disruptive Technology

FO-WLP: Drivers for a Disruptive Technology FO-WLP: Drivers for a Disruptive Technology Linda Bal, Senior Analyst w w w. t e c h s e a r c h i n c. c o m Outline Industry drivers for IC package volumes WLP products and drivers Fan-in WLP FO-WLP

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Packaging Challenges for High Performance Mixed Signal Products Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Content HPMS introduction Assembly technology drivers for

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Won Kyoung Choi*, Duk Ju Na*, Kyaw Oo Aung*, Andy Yong*, Jaesik Lee**, Urmi Ray**, Riko Radojcic**, Bernard Adams***

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

The Rejuvenation of the Semiconductor Industry Ride the New Wave

The Rejuvenation of the Semiconductor Industry Ride the New Wave The Rejuvenation of the Semiconductor Industry Ride the New Wave Rozalia Beica CTO Yole Developpement 1 1 Presentation Outline Yole Intro Market Drivers Advanced Packaging Global Trends Conclusions 2 2

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

CMOSETR Session C1, July 7 (Macroelectronics)

CMOSETR Session C1, July 7 (Macroelectronics) Universal Flexible Hybrid System Development Kit including MCU, ADC and RFIC Prepared for: CMOSETR Session C1, July 7 (Macroelectronics) Doug Hackler President & CEO doughackler@americansemi.com 208 336-2773

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5 LQFP Low Profile Quad Flat Pack Packages (LQFP) Amkor offers a broad line of LQFP IC packages designed to provide the same great benefits as MQFP packaging with a 1.4 mm body thickness. These packages

More information

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES AGENDA RECON PACKAGING TECHNOLOGY FOR SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES Edward Law Senior Director Package Engineering, Operations and Central Engineering 1 OUTLINE Market dynamics Connectivity

More information

NAN YA PCB CORPORATION COMPANY BRIEFING. September 2011 PAGE NYPCB, All Rights Reserved.

NAN YA PCB CORPORATION COMPANY BRIEFING. September 2011 PAGE NYPCB, All Rights Reserved. COMPANY BRIEFING September 2011 PAGE 1 Safe Harbor Notice Nan Ya PCB s statements of its current expectations are forward-looking statements subject to significant risks and uncertainties and actual results

More information

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT ARCHIVE IC PACKAGE MINIATURIZATION AND SYSTEM IN PACKAGE (SIP) TRENDS by Brandon Prior Senior Consultant Prismark Partners T ABSTRACT his brief packaging market overview presentation will provide a perspective

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

Verigy a Premier Semiconductor Test Company

Verigy a Premier Semiconductor Test Company Verigy a Premier Semiconductor Test Company Safe Harbor Statement This webcast or presentation contains certain forward-looking statements within the meaning of the Safe Harbor provisions of the Private

More information

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology Technology Platform and Trend for SiP Substrate Steve Chiang, Ph.D CSO of Unimicron Technology Contents Unimicron Introduction SiP Evolution Unimicron SiP platform - PCB, RF, Substrate, Glass RDL Connector.

More information

TechSearch International, Inc.

TechSearch International, Inc. IoT and the Impact on MEMS and Sensors Packaging E. Jan Vardaman President and Founder TechSearch International, Inc. www.techsearchinc.com What is IoT? Internet of Things..Cisco s Internet of Everything

More information

Introduction This manual presents an overview of the Assembly and Test Cost and Price Model and the basic workings of the model.

Introduction This manual presents an overview of the Assembly and Test Cost and Price Model and the basic workings of the model. Packaging Cost and Price Model User Manual IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 Tx: (978) 352 7610, Fx: (978) 352 3870, email: info@icknowledge.com Version 2019 model Introduction This manual

More information

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Seung Wook Yoon, *Boris Petrov, **Kai Liu STATS ChipPAC Ltd. 10 #04-08/09 Techpoint Singapore 569059 *STATS

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

A Small Company with a Big Footprint! Company Overview

A Small Company with a Big Footprint! Company Overview A Small Company with a Big Footprint! Company Overview October 2016 1 Contents Company Overview - Our Business - Our History - Organization Global Presence Key Customers Strategic Partners Product Offerings

More information

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved.

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved. COMPANY BRIEFING March 2015 PAGE 1 Safe Harbor Notice Nan Ya PCB s statements of its current expectations are forward-looking statements subject to significant risks and uncertainties and actual results

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

Phone Wars The Hardware

Phone Wars The Hardware Phone Wars The Hardware Page 2 Comneon is a 100% subsidiary of Infineon Technologies dedicated to mobile software Comneon was founded in 1991 Acquired by Infineon in 2000 Software for mobile phones 3GPP

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Managing the downturn, Ready for the Upswing

Managing the downturn, Ready for the Upswing Managing the downturn, Ready for the Upswing Scott McGregor President and Chief Executive Officer Agenda for today Managing the downturn, ready for the upswing Scott McGregor, President and CEO, Focus

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics Rethinking the Hierarchy of Electronic Interconnections Joseph Fjelstad Verdant Electronics The Industry s Terminology Challenge» The electronics industry continues to explore and develop new methods to

More information

3DIC & TSV interconnects

3DIC & TSV interconnects 3DIC & TSV interconnects 2012 Business update Semicon Taiwan 2012 baron@yole.fr Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Semiconductor chip

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Driving Leading Edge Microprocessor Technology

Driving Leading Edge Microprocessor Technology Driving Leading Edge Microprocessor Technology Dr. Hans Deppe Corporate Vice President & General Manager AMD in Dresden AMD Overview A leading global supplier of innovative semiconductor solutions for

More information

Silicon Motion Technology Corporation

Silicon Motion Technology Corporation Silicon Motion Technology Corporation Silicon for Mobile Information Investor Presentation March 2006 Safe Harbor Statement This presentation does not constitute or form part of any offer for sale or subscription

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Toshiba America Electronic Components, Inc. Flash Memory

Toshiba America Electronic Components, Inc. Flash Memory Toshiba America Electronic Components, Inc. Flash Memory Fact Sheet Company Overview Combining quality and flexibility with design engineering expertise, TAEC brings a breadth of advanced, next-generation

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE Next-Generation Electronic Packaging: Trend & Materials Challenges Yi-Shao Lai Group R&D ASE Jun 26, 2010 Evolution & Growth of Electronics 2 Evolution of Electronic Products Audion Tube (1906) Transistor

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

X-Series Test Platform

X-Series Test Platform Focused, Cost-optimized ATE Solutions Configurations for optimal testing of DSP, power, automotive, mixed-signal and RF applications Focused Applications The X-Series is a comprehensive semiconductor test

More information

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group Mobile, Multimedia & Communications Tommi Uhari Executive Vice President MMC Group 2007 Accomplishments Leading positions* # 1 in Analog/mixed signal # 1 in 3G RF # 3 in Wireless Focus on high-growth segments

More information

3DIC & TSV interconnects business update

3DIC & TSV interconnects business update 3DIC & TSV interconnects business update ASET presentation. Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Fields of Expertise Yole Developpement

More information

Pushing the barriers of wafer level device integration: High-speed assembly, the case for MicroTape.

Pushing the barriers of wafer level device integration: High-speed assembly, the case for MicroTape. Pushing the barriers of wafer level device integration: High-speed assembly, the case for MicroTape. Gordon Christison Reel Service Ltd 55 Nasmyth Road Southfield Industrial Estate Glenrothes Scotland

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

PRODUCT Line Card JULY Connecting People & Technology.

PRODUCT Line Card JULY Connecting People & Technology. PRODUCT Line Card An Amkor Company Connecting People & Technology JULY 2017 As one of the world s largest suppliers of outsourced semiconductor packaging, design, assembly and test services; Amkor helps

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

T.C./ PEG Review Portable & Consumer. Bob Pfahl, inemi for Susan Noe, 3M-Chair Productronica, 11/14/07

T.C./ PEG Review Portable & Consumer. Bob Pfahl, inemi for Susan Noe, 3M-Chair Productronica, 11/14/07 2008-9 9 T.C./ PEG Review Portable & Consumer Bob Pfahl, inemi for Susan Noe, 3M-Chair Productronica, 11/14/07 2008-9 9 Product Emulator Descriptions Emulators Office Systems / Large Business Systems Telecom/Datacom

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information

Global and China IC Substrate Industry Report, Apr. 2012

Global and China IC Substrate Industry Report, Apr. 2012 Global and China IC Substrate Industry Report, 2011-2012 Apr. 2012 STUDY GOAL AND OBJECTIVES This report provides the industry executives with strategically significant competitor information, analysis,

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G 1 BROAD PORTFOLIO OF FLEXIBLE, SCALABLE SOLUTIONS From traditional voice-centric mobile phones to the most advanced,

More information

A Highly Integrated and Comprehensive SiP Solutions for IoT

A Highly Integrated and Comprehensive SiP Solutions for IoT A Highly Integrated and Comprehensive SiP Solutions for IoT Teck Lee Senior Technical Manager, ASE Group, Taiwan. Introduction IoT Segmentation Source: Yole, 2016/10 SAW Filter SAW Filter SiP Heterogeneous

More information

Our customers innovations transform the way we live, work and play. Our innovations help them deliver.

Our customers innovations transform the way we live, work and play. Our innovations help them deliver. Advancedsemiconductortestechnologyandsolutions Our customers innovations transform the way we live, work and play. Our innovations help them deliver. Combined innovations, brilliant results. WeareVerigy

More information

New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group

New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group HUATIAN TECHNOLOGY(KUNSHAN) New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group Mr. Yichen Zhao Sumacro 2018 March 16 HUATIAN Information

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO April 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Chapter 1 Introduction of Electronic Packaging

Chapter 1 Introduction of Electronic Packaging Chapter 1 Introduction of Electronic Packaging 1 Introduction of Electronic Packaging 2 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3 Concept of Electric Packaging 4 Moore s

More information

September 13, 2016 Keynote

September 13, 2016 Keynote BiTS China 2016 Premium Archive 2016 BiTS Workshop Image: 一花一菩提 /HuiTu.com September 13, 2016 Keynote Burn-in & Test Strategies Workshop www.bitsworkshop.org September 13, 2016 BiTS China 2016 Premium

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

Semiconductor Process Reliability In Practice

Semiconductor Process Reliability In Practice We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with semiconductor process

More information

Fine Line Panel Level Fan-Out

Fine Line Panel Level Fan-Out Fine Line Panel Level Fan-Out David Fang CTO, Vice President of Powertech Technology Inc. P - 1 Outline 1. Brief Introduction of PTI 2. Moore s Law Challenges & Solutions Moore s Law Challenges Highly

More information

Nasdaq: DAIO. Anthony Ambrose. President and CEO. Data I/O Corporation May 2017 B. Riley & Co. Conference -- Investor Presentation

Nasdaq: DAIO. Anthony Ambrose. President and CEO. Data I/O Corporation May 2017 B. Riley & Co. Conference -- Investor Presentation Nasdaq: DAIO Anthony Ambrose President and CEO Data I/O Corporation May 2017 B. Riley & Co. Conference -- Investor Presentation Safe Harbor The matters that we discuss today will include forward-looking

More information

Flash Memory Overview

Flash Memory Overview GigaDevice Semiconductor, Inc. Flash Memory Overview NOR and NAND Flash Memory are the critical Non-Volatile Memory devices that store a digital device s configuration data. GigaDevice has a large Flash

More information

Safe Harbor Notice. MPI Corporation.

Safe Harbor Notice. MPI Corporation. MPI. (6223.TT) Safe Harbor Notice The information herein contains forward-looking statements. We have based these forward-looking statements on our current expectations and projections about future events.

More information

MEMS & Advanced Analog

MEMS & Advanced Analog MEMS & Advanced Analog Benedetto Vigna General Manager, MEMS, Sensors and High-Performance Analog Division MicroElectroMechanical Systems (MEMS) MEMS take advantage of the electrical and mechanical properties

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Excellence. through Experience, Execution and Integrity

Excellence. through Experience, Execution and Integrity Excellence through Experience, Execution and Integrity Company Background In business for over 16 years Headquartered in Austin, Texas Founding Partners are Test Software & Hardware Engineers with 150+

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018 RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA April 3, 2018 Disclaimer The information contained in this presentation is provided for informational purpose only, and should not be relied

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information