Fine Line Panel Level Fan-Out

Size: px
Start display at page:

Download "Fine Line Panel Level Fan-Out"

Transcription

1 Fine Line Panel Level Fan-Out David Fang CTO, Vice President of Powertech Technology Inc. P - 1

2 Outline 1. Brief Introduction of PTI 2. Moore s Law Challenges & Solutions Moore s Law Challenges Highly Integration SiP Solutions 3. Fine Line Panel Level Fan Out Technology Wafer Level v.s. Panel Level Panel Level Fan Out Challenges and Solutions Panel Level Fan Out Diversification 4. Summary P - 2

3 PTI Company Overview Founded in 1997 Major Services: Chip Probing, Bumping, WLP, Panel FO, Packaging, Final Test, & Module Assembly Revenue: $1.5B USD (2016) Employees: ~16, plants worldwide Taiwan Headquarters (3D) Hukou (3A) Hukou (2A & 2B) Hukou (3C) HSP (P8 & P11) WenHua (P9) Hsinpu (P1) Greatek P - 3

4 PTI Package Technology In Brief 32D Stacking NAND 50um Pitch CPB FC SiP WLCSP CIS CSP 25um chip Debut in D/3DIC 3DIC Memory Cube 3DIC Memory on Logic 2.5D IC 4D memory logic chip2 chip3 chip1 Chip Bump Interposer TSV Fan Out CHIEFS CLIP PiFO BF 2 O P - 4

5 Outline 1. Brief Introduction of PTI 2. Moore s Law Challenges & Solutions Moore s Law Challenges Highly Integration SiP Solutions 3. Fine Line Panel Level Fan Out Technology Wafer Level v.s. Panel Level Panel Level Fan Out Challenges and Solutions Panel Level Fan Out Diversification 4. Summary P - 5

6 Electronics Industry Evolution Vacuum tube John Ambrose Fleming, 1904 Transistor John Bardeen, Walter Brattain, and William Shockley, 1947 Integrated circuit Jack Kilby, 1958 LSI~VLSI 18,000 vacuum tubes Pennsylvania State U. U. of Manchester IBM s 1950s 1960s 1970s ~ Today s accomplishments were yesterday s impossibilities! P - 6

7 Moore s Law Challenges Source: The Economist P - 7

8 SoC Challenges SoC in FCBGA Chip Split & Re-constitution in SiP P - 8

9 Challenges of Conventional SiP SoC in FCBGA Conventional SiP Challenges for conventional SiP 1. Performance degradation (long interconnection). 2. Power consuming (high transmission resistance). 3. Large form factor (substrate routing constraint). Need solutions to allocate chips as close as possible. P - 9

10 3DIC and 2.5DIC for SiP 3DIC SiP Difficult TSV connection design (different chip size). Heat dissipation & data retention issue. Thicker package than conventional SiP. Take time to coordinate different chip suppliers and TSV designs. High chips cost (same size chips). 2.5DIC SiP Chips Interposer Substrate Additional interposer design and manufacturing. Interposer cost. Extra tests to ensure known good interposer. P - 10

11 Fan Out SiP FC SiP L/S>10/10um FO SiP L/S 2/2~10/10um Wide L/S fan out (> 10/10um) doesn t have better performance than FC SiP because long interconnection. We need fine L/S fan out to place chips closer for better performance, lower power consumption, and higher I/O density. P - 11

12 Highly Integration SiP Solutions Items Solution Flip Chip 3DIC 2.5DIC Fine line Fan Out I/O density (#/mm 2 ) 1x10 2 ~ 6x10 2 1x10 3 ~ 1x10 4 1x10 3 ~ 1x10 4 1x10 2 ~ 1x10 3 L/S (um) 9/12 < 2/2 < 2/2 2/2 Chip partition Bandwidth Total power Thickness M M+ M L Cost $$ $$$ $$$ $$- Fine line Fan Out for highly integration has reasonable cost with good performance. P - 12

13 FO : Advantages & Applications Thin RDL & PI Low Z-height Mobile AP Fine pitch pillar High I/Os Side-by-side Short transmission & High I/O interconnection <5/5um L/S Low insertion loss, Coplanar waveguide Short path Low J PMIC, Power High BW PoP Logic + Memory >3 GHz CPU, GPU P - 13

14 Fan-Out for Heterogeneous Integration SoC AP 14nm BB 14nm AP 14nm BB 28nm AP 14nm BB 28nm PMIC RF IPD SoC on FCCSP High wafer cost Low wafer gross die High NRE (mask) FO (side-by-side) Low wafer cost Low NRE (mask) Flexible to dynamic product mix Quick time-to-market FO SiP Low COO Easy to use / design-in Small form factor P - 14

15 Fan-Out for Homo/Heterogeneous Integration (Chip Partition) FCCSP Fan-Out 7 nm Wafer Yield : ~30% Wafer Yield : ~70% + < N-lane SerDes N-lane SerDes 2N-lane SerDes Chip Combo 1X dollars 1X dollars >2X dollars Chip Combo Performance boosted Value added by FO P - 15

16 Outline 1. Brief Introduction of PTI 2. Moore s Law Challenges & Solutions Moore s Law Challenges Highly Integration SiP Solutions 3. Fine Line Panel Level Fan Out Technology Wafer Level v.s. Panel Level Panel Level Fan Out Challenges and Solutions Panel Level Fan Out Diversification 4. Summary P - 16

17 Wafer Level or Panel Level Suitable Player for Panel Level Fan Out Setup 300mm wafer 3~5X Package quantity ratio (per panel) Package size (mm 2 ) Wafer level Panel level 15 x x x x Package for More than Moore is usually larger than 10x10mm. Panel level provides 3~5X efficiency than wafer level. Industry Expertise Wafer foundry LCD PCB OSAT IC package N N Large panel - Fine line Warpage control 1. OSAT is in a good position to setup panel level Fan Out. 2. Initial investment per module is 30~40% higher than Fan In WLP. P - 17

18 Panel Level Fan Out Challenges 1. No worldwide standards mm wafer has SEMI standards - LCD and IC substrate has different panel size. What standard should panel level follow? 2. Tool and accessory readiness - Lack of whole set of fine pitch panel tools - Process cassette, loader / unloader, transportation 3. Product & Process Difficulty Gen. LCD panel Size (mm) 1 300x x x x x x Panel warpage, chip shift, fine line patterning PCB (IC substrate) panel Type A B C D Size (mm) 400x x x x600 P - 18

19 Tool Readiness for Panel Level Fan Out Process Industry Wafer foundry (WLP, bumping) LCD PCB Picked Coating A B C B Exposure A B C B Tool Development A B C B Deposition A B C PVD: B ECD: A (E ) Back-end A - - Expanded version Cassette FOUP Cassette Tray Accessory Transportation OHT AGV/MGV Trolly New design Load Port Load Lock Open Env. Open Env. Panel Level Fan Out leverages a mixed infrastructure. We referred accessory of different industries to design suitable ones for panel level Fan Out. P - 19

20 Major Panel Level Fan Out Process Flow (Chip First) Chip Preparation Die Embedding Patterning Backend Cu post Chip mount Dielectric litho Marking BSG Molding PVD Ball mount Chip singulation Mold grinding RDL litho Debond RDL ECD Singulation Strip & etch P - 20

21 Panel warpage Warpage Challenge for Panel Level Fan Out Acceptable warpage Before Big warpage Panel After Process steps A B C D E F G H I J K L M Small warpage Conveyor with guide rollers Robot clamps with vacuum chuck 1. Structure, materials, and process optimization helps reducing warpage. 2. Special chuck, conveyor, and cassette designs also help to tolerate panel warpage. P - 21

22 Panel Chip Shift Challenge for Panel Level Fan Out PI open Pad Chip Not aligned PI open to pad mis-alignment Original chip mount location Chip shift after mold cure Aligned Good alignment Chip mount shift intentionally Chip mount accuracy Mold parameters optimization Lithography compensation Add chip shift design tolerance CTE matched design Chip mount offset compensation Chip move to correct position after mold cure Offset chip mount position intentionally to compensate chip shift after mold cure. P - 22

23 Chip Shift Compensation Mask-to-Chip alignment Laser Direct Imaging (LDI) Mask Θ CCD CCD read die shift X Y LDI CCD CCD detect chip shift location AOI feedback chip shift to stepper. Chuck will move to fit chip shift LDI creates patterns aligned with shifted chip locations. P - 23

24 PTI Panel Level Fan Out Solutions eplp (embedded Panel Level Package) eplb (embedded Panel Level BGA) CHIEFS (Chip First) CLIP (Chip Last) PiFO (Chip Middle) BF 2 O (Bump Free) - Cheaper than CLIP - AP, BB, ASIC, Memory - Known Good RDL - Passive available - CPU, GPU, FPGA, Thermal sensitive devices - Pillars connect top and bottom RDLs - Passive available - RF module, Sensor, AP (PoPb), SiP, 3D stacking - PMIC, Audio, RF transceiver CHIEFS :Chips Integration Embedded Fanout Solution CLIP : Chip Last Integration Package PiFO : Pillars In FanOut BF 2 O : Bump Free FanOut RDL L/S : 8/8um qualified, 5/5um developed, 2/2um capability P - 24

25 Homogeneous Integration Fan Out Chip A Chip A 8/8 um M2 M1 3P2M+UBM P - 25

26 Heterogeneous Integration Fan Out Chip A Chip B 5/5 um M2 M3 M1 4P3M+UBM P - 26

27 PiFO (Pillar in FO) controller Underfill EMC Cu Pillar Top RDL 3P2M 55um 33um 100um 200um M1 M3 M2 Bottom RDL 3P3M+UBM Bottom RDL first P - 27

28 Panel Level Fan Out Diversification CHIEFS (Chip First) CLIP (Chip Last) PiFO (Chip Middle) BF 2 O (Bump Free) Homo/Heterogeneous Integration Specific Function FO stack FO in package FO compartment EMI shield FO_PoP w/ stacked chips FO_PoP w/ FI FO SiP embedded SBT FO AoP FO Sensor P - 28

29 Outline 1. Brief Introduction of PTI 2. Moore s Law Challenges & Solutions Moore s Law Challenges Highly Integration SiP Solutions 3. Fine Line Panel Level Fan Out Technology Wafer Level v.s. Panel Level Panel Level Fan Out Challenges and Solutions Panel Level Fan Out Diversification 4. Summary P - 29

30 Summary 1. Panel level Fan-Out has advantages of small form factor, high bandwidth, and good production efficiency for highly integrated packages. 2. PTI setup the first fine line panel level Fan Out in 2H/2016 to extend Moore s Law with homo / heterogeneous integration. 3. We expect fine line Fan-Out would be adopted in a wide range of applications. It is encouraged that more players to join and make it prosperous. P - 30

31 Powertech Technology Inc. No. 10, Datong Rd., Hsinchu Industrial Park, Hukou, Hsinchu, Taiwan. TEL: (886) Powertech Technology (Suzhou) Ltd. No. 33, Xinghai Street, Suzhou Industrial Park, Suzhou, China. TEL: (86) Powertech Technology (Singapore) Pte.Ltd. 12 Ang Mo Kio Street 65 Singapore TEL: (65) Powertech Semiconductor (Xian) Co., Ltd. Part B, Shaanxi Xi'an Export Processing Zone, No. 28, Xinxi Avenue, Xi'an, Shaanxi China. TEL: (86) Greatek Electronics Inc. No. 136, Gung-Yi Rd., Chunan Town, Miaoli, Taiwan. TEL: (886) Tera Probe Inc. KAKiYA Bldg., Shin-Yokohama, Kohoku-ku, Yokohama City, Kanagawa. TEL: (81) P - 31

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

FO-WLP: Drivers for a Disruptive Technology

FO-WLP: Drivers for a Disruptive Technology FO-WLP: Drivers for a Disruptive Technology Linda Bal, Senior Analyst w w w. t e c h s e a r c h i n c. c o m Outline Industry drivers for IC package volumes WLP products and drivers Fan-in WLP FO-WLP

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

September 13, 2016 Keynote

September 13, 2016 Keynote BiTS China 2016 Premium Archive 2016 BiTS Workshop Image: 一花一菩提 /HuiTu.com September 13, 2016 Keynote Burn-in & Test Strategies Workshop www.bitsworkshop.org September 13, 2016 BiTS China 2016 Premium

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology Technology Platform and Trend for SiP Substrate Steve Chiang, Ph.D CSO of Unimicron Technology Contents Unimicron Introduction SiP Evolution Unimicron SiP platform - PCB, RF, Substrate, Glass RDL Connector.

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

3DIC & TSV interconnects business update

3DIC & TSV interconnects business update 3DIC & TSV interconnects business update ASET presentation. Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Fields of Expertise Yole Developpement

More information

Advanced Flip Chip Package on Package Technology for Mobile Applications

Advanced Flip Chip Package on Package Technology for Mobile Applications Advanced Flip Chip Package on Package Technology for Mobile Applications by Ming-Che Hsieh Product and Technology Marketing STATS ChipPAC Pte. Ltd. Singapore Originally published in the 17 th International

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES AGENDA RECON PACKAGING TECHNOLOGY FOR SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES Edward Law Senior Director Package Engineering, Operations and Central Engineering 1 OUTLINE Market dynamics Connectivity

More information

3DIC & TSV interconnects

3DIC & TSV interconnects 3DIC & TSV interconnects 2012 Business update Semicon Taiwan 2012 baron@yole.fr Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Semiconductor chip

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Won Kyoung Choi*, Duk Ju Na*, Kyaw Oo Aung*, Andy Yong*, Jaesik Lee**, Urmi Ray**, Riko Radojcic**, Bernard Adams***

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping Yuan-Ping Tseng/ An-Hong Liu TD center ChipMOS Technologies Inc. June 5, 2001 1

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

New Era of Panel Based Technology for Packaging, and Potential of Glass. Shin Takahashi Technology Development General Division Electronics Company

New Era of Panel Based Technology for Packaging, and Potential of Glass. Shin Takahashi Technology Development General Division Electronics Company New Era of Panel Based Technology for Packaging, and Potential of Glass Shin Takahashi Technology Development General Division Electronics Company Connecting the World Connecting the World Smart Mobility

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE Next-Generation Electronic Packaging: Trend & Materials Challenges Yi-Shao Lai Group R&D ASE Jun 26, 2010 Evolution & Growth of Electronics 2 Evolution of Electronic Products Audion Tube (1906) Transistor

More information

The Rejuvenation of the Semiconductor Industry Ride the New Wave

The Rejuvenation of the Semiconductor Industry Ride the New Wave The Rejuvenation of the Semiconductor Industry Ride the New Wave Rozalia Beica CTO Yole Developpement 1 1 Presentation Outline Yole Intro Market Drivers Advanced Packaging Global Trends Conclusions 2 2

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

----- Meeting Notes (10/8/13 10:34) The mobile market is driving growth and inovation in packaging.

----- Meeting Notes (10/8/13 10:34) The mobile market is driving growth and inovation in packaging. ----- Meeting Notes (10/8/13 10:34) ----- The mobile market is driving growth and inovation in packaging. 2 Mobile drives growth and the future of computing Dynamic of industry is quickly changing Devices

More information

A Highly Integrated and Comprehensive SiP Solutions for IoT

A Highly Integrated and Comprehensive SiP Solutions for IoT A Highly Integrated and Comprehensive SiP Solutions for IoT Teck Lee Senior Technical Manager, ASE Group, Taiwan. Introduction IoT Segmentation Source: Yole, 2016/10 SAW Filter SAW Filter SiP Heterogeneous

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

2.5D interposer, 3DIC and TSV Interconnects Applications, market trends and supply chain evolutions

2.5D interposer, 3DIC and TSV Interconnects Applications, market trends and supply chain evolutions 2.5D interposer, 3DIC and TSV Interconnects Applications, market trends and supply chain evolutions Dr Lionel Cadix cadix@yole.fr CEA LETI Infineon VTI Synopsys Xilinx Micron 2012 Outline Introduction

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

SEMI 大半导体产业网 MEMS Packaging Technology Trend

SEMI 大半导体产业网  MEMS Packaging Technology Trend MEMS Packaging Technology Trend Authors Name: KC Yee Company Name: ASE Group Present Date:9/9/2010 1 Overview Market Trend Packaging Technology Trend Summary 2 2 MEMS Applications Across 4C Automotive

More information

Vinayak Pandey, Vice President Product & Technology Marke8ng. May, 2017

Vinayak Pandey, Vice President Product & Technology Marke8ng. May, 2017 Vinayak Pandey, Vice President Product & Technology Marke8ng May, 2017 Overview of JCET Group Founded in 1972 and listed on Shanghai Stock Exchange in 2003 Largest OSAT in China and 3 rd largest OSAT in

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Shaping Solutions in Advanced Semiconductor Assembly and Test. Pranab Sarma, Product Engineering Manager

Shaping Solutions in Advanced Semiconductor Assembly and Test. Pranab Sarma, Product Engineering Manager Shaping Solutions in Advanced Semiconductor Assembly and Test Pranab Sarma, Product Engineering Manager STATS ChipPAC Overview 2 What we do total turnkey solutions Wafer design Outsourced Semiconductor

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Quilt Packaging Microchip Interconnect Technology

Quilt Packaging Microchip Interconnect Technology Quilt Packaging Microchip Interconnect Technology 18 November 2012 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction to IIC Quilt Packaging (QP) Concept Electrical

More information

SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY

SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY W. Koh, PhD Huawei Technologies JEDEC Mobile & IOT Forum Copyright 2017 Huawei Technologies, Ltd. OUTLINE Mobile and IoT Device

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

E. Jan Vardaman President & Founder TechSearch International, Inc.

E. Jan Vardaman President & Founder TechSearch International, Inc. J Wednesday 3/12/14 11:30am Kiva Ballroom TRENDS IN WAFER LEVEL PACKAGING: THIN IS IN! by E. Jan Vardaman President & Founder TechSearch International, Inc. an Vardaman, President and Founder of TechSearch

More information

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Seung Wook Yoon, *Boris Petrov, **Kai Liu STATS ChipPAC Ltd. 10 #04-08/09 Techpoint Singapore 569059 *STATS

More information

Embedded Power Dies for System-in-Package (SiP)

Embedded Power Dies for System-in-Package (SiP) Embedded Power Dies for System-in-Package (SiP) D. Manessis, L. Boettcher, S. Karaszkiewicz, R.Patzelt, D. Schuetze, A. Podlasky, A. Ostmann Fraunhofer Institute for Reliability and Microintegration (IZM),

More information

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018 RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA April 3, 2018 Disclaimer The information contained in this presentation is provided for informational purpose only, and should not be relied

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO April 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Packaging Challenges for High Performance Mixed Signal Products Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013 Content HPMS introduction Assembly technology drivers for

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package by Fred Lee*, Jianjun Li*, Bindu Gurram* Nokibul Islam, Phong Vu, KeonTaek Kang**, HangChul Choi** STATS ChipPAC, Inc. *Broadcom

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Comparison of Singulation Techniques

Comparison of Singulation Techniques Comparison of Singulation Techniques Electronic Packaging Society, Silicon Valley Chapter Sept. 28, 2017 ANNETTE TENG Sept 28, 2017 1 Definition of Singulation 9/28/2017 Annetteteng@promex-ind.com 2 www.cpmt.org/scv

More information

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee From Advanced Package to 2.5D/3D IC Amkor Technology : Choon Lee History says Low pin High pin & Integration As Multi-function pager City phone / PCS Feature Phone Smart Phone SOIC QFP PBGA Package-on-Package

More information

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND 1 iphone 5 2 WiFi Front End in iphone 5 3 Broadcom BCM4334 inside

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Fine Pitch High Bandwidth Flip Chip Package-on-Package Development

Fine Pitch High Bandwidth Flip Chip Package-on-Package Development Fine Pitch High Bandwidth Flip Chip Package-on-Package Development by Ming-Che Hsieh, STATS ChipPAC Pte. Ltd. Stanley Lin, MediaTek, Inc. Ian Hsu, MediaTek, Inc. Chi-Yuan Chen, MediaTek, Inc. NamJu Cho,

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved.

NAN YA PCB CORPORATION COMPANY BRIEFING. March 2015 PAGE NYPCB, All Rights Reserved. COMPANY BRIEFING March 2015 PAGE 1 Safe Harbor Notice Nan Ya PCB s statements of its current expectations are forward-looking statements subject to significant risks and uncertainties and actual results

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

TechSearch International, Inc.

TechSearch International, Inc. Packaging and Assembly for Wearable Electronics Timothy G. Lenihan, Ph.D. Senior Analyst TechSearch International, Inc. www.techsearchinc.com What s Wearable Electronics? Wearable electronics not clearly

More information

Chapter 1 Introduction of Electronic Packaging

Chapter 1 Introduction of Electronic Packaging Chapter 1 Introduction of Electronic Packaging 1 Introduction of Electronic Packaging 2 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3 Concept of Electric Packaging 4 Moore s

More information

New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group

New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group HUATIAN TECHNOLOGY(KUNSHAN) New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group Mr. Yichen Zhao Sumacro 2018 March 16 HUATIAN Information

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information