Optical PCB Overview. Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY. IBM Research

Size: px
Start display at page:

Download "Optical PCB Overview. Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY. IBM Research"

Transcription

1 IBM Research Optical PCB Overview Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY IBM Internal November 16, 2011

2 Outline System view of why optics is needed Potential OPCB Technologies for Next Generation HPCs OSA Designs OSA Assemblies Packaging Optical Component Characterization 2 November 2011

3 Optimized solutions will require detailed analysis of trade-offs Power Margin, Packaging Integration, Data-rate Density Packaging Integration, Channel Integration, Margin, Cooling, Data-rate Cost Base Manufacturing Cost, Yield, Channel Integration, Data-rate, Reliability 3 November 2011

4 Why High Performance Computing? Larger scale, more complex, higher resolution, multiscale Physics Shorter time to solution real time response Materials Science Geophysical Data Processing Environment and Climate Modeling Life Sciences / Drug Discovery Fluid Dynamics / Energy Industrial Modeling Financial Modeling Transportation More than 50% of Top500 systems are for industry* Growing number of flops are industry (~15% in 1990s to ~30% today)* Courtesy L. Treinish, IBM * Image courtesy of the National Center for Computational Sciences, Oak Ridge National Laboratory 4 November 2011

5 Maintaining the HPC Performance Trend Performance (Gigaflops) 1.E+11 1.E+10 1.E+09 1.E+08 1.E+07 1.E+06 1.E+05 1.E+04 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 Nov-92 10x /3.5-4yrs = 85-90% CAGR Total 1st Nov-94 Nov-96 Nov th Nov-00 Nov-02 Nov-04 5 November 2011 Nov-06 Nov-08 Time Nov-10 Nov-12 Nov-14 Nov-16 Nov-18 Nov-20 1EF 1PF Increasing Parallelism: System BW at all levels of assembly must scale exponentially ~(0.1-1 Byte/Flop) AND/OR new architectures, topologies and algorithms required Systems: 85-90% CAGR,continuing** Increasing Parallelism Accelerators Chip ~50% 30% CAGR* Semiconductors & Pkg: ~15-20% CAGR, slowing * **chart data from

6 Cost and power of a supercomputer Year Peak Performance Machine Cost Total Power Consumption PF $150M 2.5MW PF $225M 5MW PF $340M 10MW PF (1EF) $500M 20MW Assumptions: Based on typical industry trends (See, e.g., top500.org and green500.org) 10X performance / 4yrs (from top500 chart) 10X performance costs 1.5X more 10X performance consumes 2X more power 6 November 2011 J. Kash Photonics Society Annual Meeting Nov 2010 and OWQ1 OFC 2011

7 Evolution of Optical interconnects Time of Commercial Deployment (Copper Displacement): 1980 s 1990 s 2000 s > 2012 WAN, MAN metro,long-haul LAN campus, enterprise System intra/inter-rack Board module-module Module chip-chip Chip on-chip buses Telecom Datacom Computer -com Distance Multi-km 100 s m 10 s m < 1 m < 10 cm < 20 mm Integration cards Card edge Card edge /on card Module Si C or chip On chip BW * Distance: Optics >> Copper Increasing integration of Optics with decreasing cost, decreasing power, increasing density 7 November 2011

8 Why Optics? Electrical Buses become increasingly difficult at high data rates (physics): Increasing losses & cross-talk Frequency resonant affects Optical data transmission is easier: Much lower loss, esp. at higher data rates Additional advantages include: Cable bulk, connector size, EMI Potential power savings KEY ADVANTAGE: BW * Distance > electrical Optics trends for large servers and data centers are following same trends as telecom network: Longer links first Short link optics requires tighter package integration: Higher BW closer to signal source Changes the supplier/manufacturing paradigm CPU LGA Socket Card Card Cross talk Resonance effects Optics Freq dependent losses Backplane Copper 8 November 2011

9 Bandwidth: the Bane of the Multicore Paradigm: Logic flops continue to scale faster than interconnect BW Constant Byte/Flop ratio with N cores (constant?) means: Bandwidth(N-core) = N x Bandwidth(single core) Signal + + Reference Pins Pins per chip Assumptions: 3 GHz clock ~ 3 IPC 10 Gb/s I/O 1 B/Flop mem 0.1 B/Flop data 0.05 B/Flop I/O Number of Cores 3Di (3D integration) will only exacerbate bottlenecks M. Ritter Topical Workshop on Electronics for Particle Physics, Sept November 2011

10 Implications of BW Scaling: Signal + Reference Pins Signal + Reference Pins Pins per chip Number of Cores Chip escape limit, 200?m pitch Module escape, 1mm pitch Card escape, 8 pair/mm (QCM w/8 Cores ) Module Escape Bottleneck Card Escape Bottleneck M. Ritter Topical Workshop on Electronics for Particle Physics, Sept November 2011

11 Total bandwidth, cost and power for optics in a machine Year Peak Performance PF PF PF PF (1EF) (Bidi) Optical Bandwidth 0.012PB/s (1.2x10 5 Gb/s) 1PB/s (10 7 Gb/s) 20PB/sec (2x10 8 Gb/s) 400PB/sec (4x10 9 Gb/s) Optics Power Consumption 0.012MW 11 November 2011 Optics Cost $2.4M 0.5MW $22M 2MW $68M 8MW $200M Require >0.2Byte/FLOP I/O bandwidth, >0.2Byte/FLOP memory bandwidth 2008 optics replaces electrical cables (0.012Byte/FLOP, 40mW/Gb/s) 2012 optics replaces electrical backplane (0.1Byte/FLOP, 10% of power/cost) 2016 optics replaces electrical PCB (0.2Byte/FLOP, 20% of power/cost) 2020 optics on-chip (or to memory) (0.4Byte/FLOP, 40% of power/cost) J. Kash Photonics Society Annual Meeting Nov 2010 and OWQ1 OFC 2011

12 HPC driving volume optics Computercom market Single machine volumes similar to today s WW parallel optics Number of Optical Channels WW volume in Gbps ASCI Purple MareNostrum Blue Waters* 5Gbps Roadrunner 10Gbps * Expected Summer 2011 T. Dunning, NCSA, of High Performance Computing Year? 12 November 2011

13 Electronic Packet Switching Typical architecture (electronic switch chips, interconnected by electrical or optical links, in multi-stage networks) works well now--- Scalable BW & applicationoptimized cost Multiple switches in parallel Modular building blocks many identical switch chips & links) -- but challenging in the future Switch chip throughput stresses the hardest aspects of chip design I/O & packaging Multi-stage networks will require multiple E-O-E conversions N-stage Exabyte/s network = N*Exabytes/s of cost N*Exabytes/s of power J. Kash OFC tutorial 2008 Central switch racks By courtesy of Barcelona Supercomputing Center November 2011

14 Potential Optics Technologies for Next Gen HPC transition to OPCB? Next Gen Fiber Optics Optical PCB Polymer waveguides Switch hub, optics on MCM 2x12 VCSEL array TSV Si carrier Optochips assembled 2x12 PD array 2x12 LDD IC 6.4mm x 10.4mm 2x12 RX IC TX LDD VCSEL RX PD RX Si Carrier To optical connector Organic Carrier Lens Arrays PCB or Flex Polymer Wavegu ~Known (vendor) Technologies Higher cost Moderate Density Needs commercial ecosystem Lower cost Higher Density HPC Peak Performance Optics Bitrate PF 10Gbps Gbps 300 PF EF 25-40Gbps Volume commercial use lags HPC by ~4-5 years IBM has technology expertise both in WGs and high bitrates 14 November 2011

15 Advantages of Polymer Waveguide Technology compared to Parallel Fiber Optics Integrated mass manufacturing Board, sheet, film level processing of optical interconnects Lower assembly, waveguide jumper costs Costs for wide busses should scale better Simple assembly Avoid fiber handling (integrated approach) Similar assembly procedures as for electrical components and boards (pick and place, etc.) Establish electrical and optical connections simultaneously (pick, place, reflow, etc.) Avoid separate optical layer (if integrated with board) New or compact functionality supporting new architectures Shuffles, Crossings, splitters, Enabler for multi-drop splitting, & complex re-routing that is expensive in fiber Higher density, waveguide pitch < 125 um (best future fiber pitch) Cost Higher bandwidth density, less signal layers demonstrated 62.5um Reduced Optics module cost AND jumper/connector costs both important Possible Lower Maintenance costs 15 November 2011

16 Integrated packaging is more complex, requires close relationship with suppliers (IBM PERCS) Ideal candidate for PWG Packaging. Hub ASIC (Under Heat Spreader) Strain Relief for Optical Ribbons Total of 672 Fiber I/Os per Hub, 10 Gb/s each Optical Transmitter/Receiver Devices 12 channel x 10 Gb/s 28 pairs per Hub - (2,800+2,800) Gb/s of optical I/O BW Cooling / Load Saddle for Optical Devices Heat Spreader over HUB ASIC Heat Spreader for Optical Devices A.Benner, Future Directions in Packaging (FDIP) Workshop, EPEP Oct November 2011

17 Density of optical links Optics Module and electrical connector Card edge Active cable, electrical at card edge Courtesy of Avago Technologies ~18x41mm 1.27mm pitch Courtesy of Avago Technologies ~8X8mm, ~0.75mm pitch ~60K fibers/ RACK ~10x25mm ~40K fibers / SYSTEM ROADRUNNER ~1PF) 4x4 VCSEL Array 4x4 PD Array ~5X15mm 48@10Gbps/cable ~5x3mm 0.2mm pitch PERCS >10PF Optical at card edge > 40x denser 17 November 2011

18 Optical waveguide interconnects: The Terabus project and related work Optochip SLC CMOS IC VCSEL CMOS ICCMOS CMOS IC VCSEL OE s PD Waveguide Lens Array Optomodule SLC Optomodule 2 CMOS ICCMOS CMOS IC VCSEL OE s Waveguide Lens Array Optocard Polymer waveguides Dense Hybrid Integration: demonstrate a low-cost packaging approach compatible with conventional PCB manufacturing and surface-mount board assembly Future Vision: optically-enabled MCM s Circa Transceiver Optochip Other Chips organic chip carrier Circuit Board w/ both electrical traces & optical waveguides Low-density, conventional electrical interface for power & control High-density, wide and fast optical interfaces for data I/O Much higher off-module bandwidth at low cost in $$ and power 18 November 2011 CPU OE XCVR Power Cost Datarate Density Reliability <10mw/Gbps (EOE) <$0.25/Gbps (TRx + on-card optics) 25Gbps/channel 2 Tbps/cm 2 (on module) < 10 FIT per channel

19 Outline System view of why optics is needed Potential OPCB Technologies for Next Generation HPCs OSA Designs OSA Assemblies Packaging Optical Component Characterization 19 November 2011

20 Optical Printed Circuit Boards IBM Research has invested heavily in the past 7+ years in Optical printed circuit board technology based on multi-mode polymer waveguides Partially funded by the US Government (Terabus program) We believe this technology will be needed to provide the needed BW for future server generations, allow highly integrated electrical-optical links and provide a path to much lower cost optical links. We are highly interested in establishing a market eco-system that will provide a set of suppliers, standards and specifications and users for this technology. 20 November 2011

21 Optocard Technology: Waveguides, Module Attachment and Tolerances Waveguide Lens Array 35µm x 35µm 62.5µm pitch BGA site for Optomodule TRX IC SLC Carrier x Offset (?m) OE Lens Array y Offset (?m) Module Attachment FR4 Optical coupling efficiency Coupling Efficiency (db) x Offset (? m) BGA attachment process Alignment of OE lens array to waveguide lens array Coupling Efficiency (db) Tx: ±35 µm Rx > ±65 µm Offset (?m) 21 November 2011

22 Optocard waveguides: Turning mirrors and Lens Array SLC Carrier TRX IC OE Lens Array Waveguide Lens Array FR4 TIR mirrors Integrated turning mirrors TIR laser ablation Dense waveguide pitch Integrated 48-channel collimating lens array Provides 40mm alignment tolerance for Optomodule BGA site for Optomodule 48-channel Waveguide mirror array 22 November 2011 Board Loss (db) Waveguide/Mirror channel 35, 40 not shown, in-coupling scattering Uniformity 1.6 db average loss 0.9dB for 7.5cm ~0.7dB for mirror/lens assembly Channel Number waveguide cores on 62.5um pitch Median : 1.6 Stdev: 0.1

23 Waveguide Connectors: Passive alignment with optical precision Top FR4 stack (with electrical lines) Polymer waveguide layer Connector interface 12 waveguides Alignment marker Bottom FR4 stack MT pins Alignment studs PCB Copper markers Alignment slots waveguides MT ferrule aligned by copper markers Positioned MT ferrule to polymer waveguides 23 November 2011

24 Terabus Transceivers 985nm and 850nm built Chip-to-chip optical interconnect on a PCB using 985nm transceivers (non-standard wavelength) Chip-to-chip optical interconnect on a PCB using 850nm wavelength transceivers (industry-standard wavelength) Optomodule SLC Transceiver Optochip CMOS ICCMOS CMOS IC VCSEL OE s OE s PD Optochip SC SiCarrier LDD VCSEL PD TIA LDD VCSEL PD SLC CoreEZ SLC Substrate Waveguide Lens Array Lens Array Optocard FR4 Substrate Optochip: Single-chip CMOS transceiver IC with flip-chip attached optoelectronic arrays 985nm, substrate emitting OEs Optochip: Si carrier platform for heterogeneous integration of OEs and ICs Electrical and Optical vias in Si carrier Optomodule: High-speed, high-density organic carrier Extendible to optically enabled MCM (OE-MCM) Optocard: PCB with integrated polymer waveguides Replace complex electrical PCB with simpler electrical PCB (power and control), plus waveguides 24 November 2011

25 Full Terabus Link (985-nm): 2 Transceiver Optomodules on Optocard TRX1: 16TX + 16RX 4x4 VCSEL Array TRX2: 16TX + 16RX 4x4 PD Array 16 Channels TRX1? TRX2 at 10Gb/s + 16 Channels TRX1? TRX2 at 10Gb/s 25 November 2011

26 Terabus 850 nm Transceiver Optochip Assembly VCSEL High-speed array probe PD pads array TSV Si carrier LDD IC LDD IC VCSEL array PD array RX IC RX IC Silicon Carrier Silicon Carrier provides dense high-speed wiring, mechanical support, and optical I/O through holes etched in the carrier Heterogeneous integration of ICs and OEs through dense arrays of solder microbumps IC pads:?= 35?m, pitch = 50?m OE pads:?= 35?m, pitch = 100?m ~1um Au-plating on pads Optochip assembly: four sequential flip-chip soldering processes Suss FC-150 flip-chip bonder AuSn solder pre-deposited on ICs, VCSEL & PD arrays Reflow solder at about 320 C 26 November 2011

27 Assembled Terabus 850-nm Optomodule (Optochip on CoreEZ) TX:15Gb/s 850-nm Optochip demonstrated 300Gb/s bidirectional aggregate data rate RX:12.5Gb/s First row of solder joins visible beneath the Optochip 27 November 2011

28 IBM technology expertise in high bitrate transceivers VCSEL Transmitters and Receivers can achieve 2015 metrics for density, bitrate and power 90-nm IBM CMOS-Driven VCSEL Transmitters and Compatible Receivers Power and Speed Records VCSEL Transmitters 20Gb/s 20Gb/s 29Gb/s 32Gb/s 0.7 pj/bit 2.8 pj/bit 1.9 pj/bit 1.75 pj/bit Compatible Receivers 15Gb/s 17.5Gb/s 20Gb/s 2.9 pj/bit 3.5 pj/bit 6.7 pj/bit November 2011

29 Development of VCSELs for >25 Gb/s links collaborations with OE vendors Joint work with Finisar AOC 8mA 700mV pp 26Gb/s 30Gb/s *R. Johnson and D. M. Kuchta, 30Gb/s directly modulated VCSELs, CLEO 2008 Joint work with Emcore Corp 20Gb/s 25Gb/s 6mA 375mV pp *N. Y. Li et al., High-Performance 850 nm VCSELs and Photodetector Arrays for 25 Gb/s Parallel Optical Interconnects, OFC *N. Y. Li et al., Development of High-Speed VCSELs Beyond 10 Gb/s at Emcore, Photonics West November 2011

30 IBM technology expertise in high bitrate optical PWG Link Prototype builds Embedded Waveguide Technology: 120 Gb/s Board-to-Board Optical Link Demonstrator Optical TX/RX board as building block 12 embedded waveguides Complete 12x10 Gb/s link demonstrator MT interface EO modul Embedded polymer waveguides (12 channels) Passive alignment of MT standard based connectors MT interface as standard interface for WG, fiber bundles/optical flexes and transceivers Pluggable TX/RX module (butt coupling) Eye diagrams for 2 channels at 10 Gb/s 10 Gb/s 10 Gb/s 30 November 2011

31 IBM technology expertise in field replaceable optical TRx packaging Field Replacable Optics OE Carrier/LGA, Dual Layer PWG Carrier LGA VCSEL arraypd array TIA LDD Heat Spreader Backplane Connector (TRL) Organic Carrier LGA PCB Lens arrays WG arrays with mirrors OE Carrier: Wafer based micro-optic assemblies, organic substrate for electrical interconnect (low cost). Removable carrier, passive alignment. PCB / Waveguide Board: PCB with dual layer buried polymer waveguides, integrated waveguide lens arrays. LGA Assembly: Low force LGA, course & fine alignment means. Optical Components: 1x12 arrays : 850nm VCSEL and photodiode Arrays (10Gb/s) Libsch, F.R. et. al., MCM LGA packaging for Optical I/O Passively Aligned to Dual Layer Polymer Waveguides, IEEE Electronic Components and Technology Conference (ECTC), November 2011

32 Waveguide-on-flex cables: 192 Channel flexible waveguide optical backplane 8 waveguide flex sheets, 192 waveguides, 8 connectors Optical L-Links Optical D-Links 4 connectors, 48 waveguides each Multi-layer waveguide optical connector Based on passive alignment Basic building block for optical pcb backplane technology 32 November 2011

33 48-Channel-to-4x12-Channel Waveguide Flex Fan-Out 48 WGs with 62.5?m pitch Waveguide cross-section Light incoupling 12 WGs with 250?m pitch 33 November 2011

34 Summary and Questions for Discussion As shown, optical polymer waveguide interconnect technology are a candidate for high performance computer optical interconnects. IBM is working with other system houses to define commonality, drive volumes up, and provide for lower cost to both system and component vendors. IBM is not likely to build this technology for itself, just as we currently do not manufacture PCBs and organic build-up technologies or optical transceivers IBM has developed research-level technologies for all aspects of the technology Commercialization of waveguide-on-card manufacturing would require working with a commercial PCB vendors and a waveguide materials supplier, as well as a manufacturer of the optical transceivers What role do vendors (yourself) envision for yourself in that ecosystem? Comments welcomed. 34 November 2011

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Optical Interconnects: Trend and Applications

Optical Interconnects: Trend and Applications Optical Interconnects: Trend and Applications Yi-Jen Chan EOL, ITRI Wireless & Optical Communications conference 2008 April 23, 2008 OUTLINE Background and Motivation Trends of Optical Interconnects Technology

More information

VCSEL-based solderable optical modules

VCSEL-based solderable optical modules 4th Symposium on Optical Interconnect for Data Centres VCSEL-based solderable optical modules Hideyuki Nasu FITEL Products Division Furukawa Electric Co., Ltd. H. Nasu/ FITEL Products Division, Furukawa

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Active Optical Cables Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Supplier of Mixed Signal Products Supplier of Mixed Signal Communication Semiconductors, public $230M Medical Communications

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

Optical Engine? What s That?

Optical Engine? What s That? JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER Advances in Onboard Optical Interconnects: A New Generation of Miniature Optical Engines Marc Verdiell CTO Samtec Optical Group Samtec, Inc. 01/29/2013

More information

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. The Light on Board Company Document #: LA-970-063-00 Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. Who are we? Reflex designs and builds integrated parallel electrical-to-optical

More information

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter

More information

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016 On Board Optical Interconnection A Joint Development Project Consortium Terry Smith & John MacWilliams October 31, 2016 Presentation Outline Executive Summary Issues in Board-Level Optical Interconnect

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

100G and Beyond: high-density Ethernet interconnects

100G and Beyond: high-density Ethernet interconnects 100G and Beyond: high-density Ethernet interconnects Kapil Shrikhande Sr. Principal Engineer, CTO Office Force10 Networks MIT MicroPhotonics Center Spring Meeting April 5, 2011 [ 1 ] Ethernet applications

More information

PSM4 Technology & Relative Cost Analysis Update

PSM4 Technology & Relative Cost Analysis Update PSM4 Technology & Relative Cost Analysis Update Jon Anderson, Oclaro Kiyo Hiramoto, Oclaro IEEE P802.3bm TF, Phoenix, AZ, January 21-24, 2013 1 Supporters Chris Bergey, Luxtera Tom Palkert, Luxtera John

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

Development of Optical Wiring Technology for Optical Interconnects

Development of Optical Wiring Technology for Optical Interconnects Development of Optical Wiring Technology for Optical Interconnects Mitsuhiro Iwaya*, Katsuki Suematsu*, Harumi Inaba*, Ryuichi Sugizaki*, Kazuyuki Fuse*, Takuya Nishimoto* 2, Kenji Kamoto* 3 We had developed

More information

High-bandwidth CX4 optical connector

High-bandwidth CX4 optical connector High-bandwidth CX4 optical connector Dubravko I. Babić, Avner Badihi, Sylvie Rockman XLoom Communications, 11 Derech Hashalom, Tel-Aviv, Israel 67892 Abstract We report on the development of a 20-GBaud

More information

Packaging avancé pour les modules photoniques

Packaging avancé pour les modules photoniques I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Packaging avancé pour les modules photoniques S. Bernabé, CEA-Leti Marc Epitaux, SAMTEC Workshop «Photonique sur Silicium, une rupture attendue»

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Optical Interconnect Opportunities in Supercomputers and High End Computing

Optical Interconnect Opportunities in Supercomputers and High End Computing Optical Interconnect Opportunities in Supercomputers and High End Computing OFC 2012 Tutorial Category 14. Datacom, Computercom, and Short Range and Experimental Optical Networks (Tutorial) March 2012

More information

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber 1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber, Berlin, Germany J.-R. Kropp, N. Ledentsov, J. Lott, H. Quast Outline 1. Feasibility of components for 4x25G and 1x40G solutions for

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 September 19, 2017 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center

More information

Kotura Analysis: WDM PICs improve cost over LR4

Kotura Analysis: WDM PICs improve cost over LR4 Kotura Analysis: WDM PICs improve cost over LR4 IEEE P802.3bm - 40 Gb/s & 100 Gb/s Fiber Optic Task Force Sept 2012 Contributors: Mehdi Asghari, Kotura Samir Desai, Kotura Arlon Martin, Kotura Recall the

More information

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY Consideration for Advancing Technology in Computer System Packaging Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY IEEE Distinguished Lecture Series 2014 Motivation Modern Computing is driven by

More information

Future Datacenter Interfaces Based on Existing and Emerging Technologies

Future Datacenter Interfaces Based on Existing and Emerging Technologies Future Datacenter Interfaces Based on Existing and Emerging Technologies Summer Topicals IEEE Photonics Society Waikoloa, Hawaii 8-10 July 2013 Chris Cole Outline 10G Multi-link 10G 40G Serial 40G 100G

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

zsfp+ (Small Form-factor Pluggable Plus) 25 Gbps Interconnect System

zsfp+ (Small Form-factor Pluggable Plus) 25 Gbps Interconnect System Molex launches the first complete for serial channels, delivering unparalleled signal integrity with superior EMI protection for next-generation Ethernet and Fibre Channel applications Molex s complete

More information

Optical Interconnection as an IP Macro of COMS LSIs (OIP)

Optical Interconnection as an IP Macro of COMS LSIs (OIP) Optical Interconnection as an IP Macro of COMS LSIs (OIP) Takashi Yoshikawa, Ichiro Hatakeyama, Kazunori Miyoshi, and Kazuhiko Kurata Optical Interconnection NEC Laboratory, RWCP Tomohiro Kudoh, and Hiroaki

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation * Other names, logos and brands may be claimed as the property of others. Copyright 2009, Intel

More information

Proceedings of the Symposium on Photonics Technologies for 7 th Framework Program

Proceedings of the Symposium on Photonics Technologies for 7 th Framework Program OPERA 2006 file://e:\html\home.htm Page 1 of 1 13/10/2006 Proceedings of the Symposium on Photonics Technologies for 7 th Framework Program Wrocław, 12-14 October 2006 Organized by: Institute of Physics,

More information

Data Transport: Defining the Problem and the Solution for Photonics in servers

Data Transport: Defining the Problem and the Solution for Photonics in servers Ronald Luijten Data Motion Architect lui@zurich.ibm.com IBM Research Lab Switzerland 5 October 2010 Data Transport: Defining the Problem and the Solution for Photonics in servers 1 MIT microphotonics Fall

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

System Packaging Solution for Future High Performance Computing May 31, 2018 Shunichi Kikuchi Fujitsu Limited

System Packaging Solution for Future High Performance Computing May 31, 2018 Shunichi Kikuchi Fujitsu Limited System Packaging Solution for Future High Performance Computing May 31, 2018 Shunichi Kikuchi Fujitsu Limited 2018 IEEE 68th Electronic Components and Technology Conference San Diego, California May 29

More information

Adapter Technologies

Adapter Technologies Adapter Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

The MIT Communications Technology Roadmap Program IPI TWG Report

The MIT Communications Technology Roadmap Program IPI TWG Report The MIT Communications Technology Roadmap Program IPI TWG Report May 19, 2006 Louay Eldada Integration, Packaging & Interconnection Technology Working Group CTO, VP Engineering DuPont Photonics Chair,

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Next-Generation High-Efficiency Network Device Technology

Next-Generation High-Efficiency Network Device Technology NEDO Project on Development of Next-Generation High-Efficiency Network Device Technology - Project Status Towards Energy Saving - April 27, 2010 Tohru Asami The University of Tokyo 1 Outline Introduction

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Moving Forward with the IPI Photonics Roadmap

Moving Forward with the IPI Photonics Roadmap Moving Forward with the IPI Photonics Roadmap TWG Chairs: Rich Grzybowski, Corning (acting) Rick Clayton, Clayton Associates Integration, Packaging & Interconnection: How does the chip get to the outside

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Trends in High Density Optical Interconnects for HPC Applications. US Conec Ltd.

Trends in High Density Optical Interconnects for HPC Applications. US Conec Ltd. Trends in High Density Optical Interconnects for HPC Applications US Conec Ltd. April 20, 2010 MIT CTR April, 2010. Company Overview US Conec develops, manufactures, and markets high density multi-fiber

More information

Embedded Polymer Waveguide to Optical Fiber Interconnects Creating the Optimal Link

Embedded Polymer Waveguide to Optical Fiber Interconnects Creating the Optimal Link Embedded Polymer Waveguide to Optical Fiber Interconnects Creating the Optimal Link Dr. Blanca Ruiz, Marika Immonen, Dr. Andres Ferrer, Christian Gsell, Dr. Peter Cristea CTI Corporate Technology & Innovation

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

OIF CEI-56G Project Activity

OIF CEI-56G Project Activity OIF CEI-56G Project Activity Progress and Challenges for Next Generation 400G Electrical Links David R Stauffer Kandou Bus, SA OIF Physical & Link Layer Working Group Chair June 12, 2014 Electrical Implementation

More information

Beykent University Network Courses

Beykent University Network Courses /8/24 Beykent University Network Courses Module 3 : Optical Networks and Systems Part kaanavsarasan.weebly.com November 24 November 24 Course Outline Introduction to Optics Components of Optical Networks

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

CDFP MSA Delivers 400 Gb/s Today

CDFP MSA Delivers 400 Gb/s Today CDFP MSA Delivers 400 Gb/s Today The CDFP Form Factor The CDFP module is the first 400 Gb/s form factor and will enable the highest port and bandwidth density of any pluggable form factor. With a 32mm

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

SKTM Socket Series Catalog High Speed Compression Mount

SKTM Socket Series Catalog High Speed Compression Mount SKTM Socket Series Catalog High Speed Compression Mount Ardent Design Support Sockets Overview Ardent Compliant Contact Technology Socket Types BGA/LGA QFN/QFP/MEMS Optical Plunge to Board Lid Types Ordering

More information

QSFP (Quad Small Form-factor Pluggable) Products

QSFP (Quad Small Form-factor Pluggable) Products QSFP (Quad Small Form-factor Pluggable) Products Switches Routers Host bus adapters Enterprise storage High performance computing The Quad Small Form-factor Pluggable (QSFP) product line from TE Connectivity

More information

Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team. Dominic O Brien Mike Schabel

Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team. Dominic O Brien Mike Schabel Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team Dominic O Brien Mike Schabel Outline New markets Key challenges Potential evolution Recommendations Fibre to the

More information

Key Challenges in High-End Server Interconnects. Hubert Harrer

Key Challenges in High-End Server Interconnects. Hubert Harrer Key Challenges in High-End Server Interconnects by Packaging Key Challenges Bus Bandwidths Large increase of bandwidths driven by multicore processors increasing frequency increasing bit lines Power high

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Silicon Photonics and the Future of Optical Connectivity in the Data Center

Silicon Photonics and the Future of Optical Connectivity in the Data Center Silicon Photonics and the Future of Optical Connectivity in the Data Center ECOC MARKET FOCUS September 19, 2016 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center Traffic

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Trends in Optical Interconnects: Size, Power, Cost Jörg Wieland, GigOptix-Helix AG

Trends in Optical Interconnects: Size, Power, Cost Jörg Wieland, GigOptix-Helix AG Trends in Optical Interconnects: Size, Power, Cost Jörg Wieland, GigOptix-Helix AG SLN-Workshop on Optical Interconnects, 2010-10-28 Outline GigOptix and the HX Line A new SFP+ solution Conclusions 2 GigOptix

More information

White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation

White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation Next Generation Technical Computing Unit Fujitsu Limited Contents FUJITSU Supercomputer PRIMEHPC FX100 System Overview

More information

IntraChip Optical Networks for a Future Supercomputer-on-a-Chip

IntraChip Optical Networks for a Future Supercomputer-on-a-Chip for a Future Supercomputer-on-a-Chip Jeffrey Kash, IBM Research Acknowledgements IBM Research: Yurii Vlasov, Clint Schow, Will Green, Fengnian Xia, Jose Moreira, Eugen Schenfeld, Jose Tierno, Alexander

More information

1 of 5 12/31/2008 8:41 AM

1 of 5 12/31/2008 8:41 AM 1 of 5 12/31/2008 8:41 AM SAVE THIS EMAIL THIS Close All multimode fiber is not created equal By Robert Reid Bandwidth, reach, and cost are among the key factors you ll need to weigh. Multimode fiber cabling

More information

World s Largest Supplier of Optical Solutions for the Communications Industry. Investor Relations Presentation November 30, 2011

World s Largest Supplier of Optical Solutions for the Communications Industry. Investor Relations Presentation November 30, 2011 World s Largest Supplier of Optical Solutions for the Communications Industry Investor Relations Presentation November 30, 2011 Financial Presentation and Forward Looking Statements Forward Looking Statements

More information

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems. Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1 Future of Interconnect Fabric A ontrarian View Shekhar Borkar June 13, 2010 Intel orp. 1 Outline Evolution of interconnect fabric On die network challenges Some simple contrarian proposals Evaluation and

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Xmultiple Technology SFP Products Information

Xmultiple Technology SFP Products Information Xmultiple Technology SFP Products Information SFP Products Fiber Channel InfiniBand Ethernet SFP Product Types Optic Modules SFP System Copper HSSDC2 Module HSSDC2 Cable Assemblies PT Connectors SFP History

More information

Extending the Benefits of GDDR Beyond Graphics

Extending the Benefits of GDDR Beyond Graphics Extending the Benefits of GDDR Beyond Graphics Micron High-Performance Graphics Memory Addresses the High-Bandwidth Demands of Networking Today and Tomorrow Overview Key Benefits of High- Performance Graphics

More information

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System

MDI for 4x25G Copper and Fiber Optic IO. Quadra (CFP4 proposal) Connector System MDI for 4x25G Copper and Fiber Optic IO Quadra (CFP4 proposal) Connector System Nov 7, 2011 Nathan Tracy, TE Connectivity Tom Palkert, Molex 4x25Gb/s MDI Potential Requirements Critical Needs: Excellent

More information

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Daniel D. Evans, Jr. and Zeger Bok Palomar Technologies, Inc. 2728 Loker Avenue West Carlsbad,

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

USB Type-C Active Cable ECN

USB Type-C Active Cable ECN USB Type-C Active Cable ECN Christine Krause Active Cable WG Chair (Sponsored by Intel Corporation) USB Developer Days 2017 Taipei, Taiwan October 24 25, 2017 1 Introduction Scope Requirements for active

More information

SFP (Small Form-factor Pluggable) Products

SFP (Small Form-factor Pluggable) Products SFP (Small Form-factor Pluggable) Products As a founding member and innovator for the Small Form-factor Pluggable (SFP) Multi-Source Agreement (MSA), Tyco Electronics supports the market with a full range

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Siemon Interconnect Solutions

Siemon Interconnect Solutions Using Siemon's SFP+ interconnect assemblies proven by UNH IOL to be interoperable with Brocade, Cisco, Dell, and other leading equipment A cost-effective and lower-power alternative to optical fiber cables

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Fiber Optic Cabling Systems for High Performance Applications

Fiber Optic Cabling Systems for High Performance Applications Fiber Optic Cabling Systems for High Performance Applications BICSI Conference Bangkok, Thailand 17-18 November 2016 Nicholas Yeo, RCDD/NTS/DCDC Data Center Trends Computing evolution Cloud computing Servers

More information

250 Mbps Transceiver in LC FB2M5LVR

250 Mbps Transceiver in LC FB2M5LVR 250 Mbps Transceiver in LC FB2M5LVR DATA SHEET 650 nm 250 Mbps Fiber Optic Transceiver with LC Termination LVDS I/O IEC 61754-20 Compliant FEATURES LC click lock mechanism for confident connections Compatible

More information

CMOSETR Session C1, July 7 (Macroelectronics)

CMOSETR Session C1, July 7 (Macroelectronics) Universal Flexible Hybrid System Development Kit including MCU, ADC and RFIC Prepared for: CMOSETR Session C1, July 7 (Macroelectronics) Doug Hackler President & CEO doughackler@americansemi.com 208 336-2773

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

1. NoCs: What s the point?

1. NoCs: What s the point? 1. Nos: What s the point? What is the role of networks-on-chip in future many-core systems? What topologies are most promising for performance? What about for energy scaling? How heavily utilized are Nos

More information

Optical Trends in the Data Center. Doug Coleman Manager, Technology & Standards Distinguished Associate Corning Optical Communications

Optical Trends in the Data Center. Doug Coleman Manager, Technology & Standards Distinguished Associate Corning Optical Communications Optical Trends in the Data Center Doug Coleman Manager, Technology & Standards Distinguished Associate Corning Optical Communications Telecom 2017 Corning Restricted Incorporated 2 Server Access Switch

More information

Hybrid Couplers 3dB, 90º Type PC2025A2100AT00

Hybrid Couplers 3dB, 90º Type PC2025A2100AT00 GENERAL DESCRIPTION The PC2025A2100AT00 is a RoHS compliant low profile wideband 3dB hybrid coupler which can support mobile applications, including PCS and DCS applications. The power coupler series of

More information

PHB-200M PHB x 100/1000Base-T to 20x100/1000Base-X SFP Patching Hub

PHB-200M PHB x 100/1000Base-T to 20x100/1000Base-X SFP Patching Hub SFP Patching Hub PHB-200M PHB-200 20x 100/1000Base-T to 20x100/1000Base-X SFP Patching Hub PHB-200M is a 20-channel Managed SFP patching hub that converts copper 100/1000Base-TX to SFPs working at 100Mbps

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information