High Speed Digital Design With ADS 2012 and SystemVue 2012

Size: px
Start display at page:

Download "High Speed Digital Design With ADS 2012 and SystemVue 2012"

Transcription

1 High Speed Digital Design With ADS 2012 and SystemVue 2012 Colin Warwick Product Manager for High- Speed Digital Design at Agilent EEsof EDA

2 Proposed Agenda Help us to help you What is your present workflow and tool set? What are your most time-consuming steps? What are your most error-prone steps e.g. ones that cause rework? What insights are lacking that you need to guardband around? Design space exploration using ADS Pre-layout channel is Multi-Layer Model library of traces and vias. Gives you Tx, Rx settings, and inputs to constraint manager in third-party enterprise PCB tool (stackup, controlled impedance line, and via design parameters). Post-layout: Swap out pre-layout models with an EM-based model of the candidate, pre-manufacture artwork. Reuse the pre-layout Tx/Rx models and simulator set up. Verification and adjust. 2

3 Success Story: Why Did Cisco Choose ADS For Signal Integrity? Our systems include multi-gigabit per second chip-to-chip serial links across PCBs and backplanes. We selected ADS because it lets us couple simulations at the channel-, circuit-, and physical-levels with measured data from the instruments. The resulting workflow requires fewer respins of the physical prototypes. We get fewer unwanted surprises, and get to market quicker. -- Straty Argyrakis, CPP Integrity Engineer, Cisco Systems 3

4 HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier simulation technologies for microwave effects (which are inherent in the multigigabit/s regime), tuned to the needs of high speed digital designers. Key Technology Investments IC Model Builder: SystemVue HSD Designer: ADS/EMPro Physical Designer: Constraint-based tool e.g. Allegro, Expedition, CR-5000 etc. 4

5 Channel Simulator versus Traditional SPICE-like Transient Simulator 5

6 Why You Can t Use SPICE or Traditional IBIS Flow For Multigigabit/s SERDES Circuitry is too complex 10 s k transistors in the logic block Design space is too big Tx settings Channel design Rx setting = Thousands of simulations to find the optimum eye height/width Altera s Adaptive Equalizer Sub-gigabit/s yesterday Multigigabit/s today RGEN Reference edge generator. LPF HPF. RECT Rectifiers and integrators Comparators Digital controls and filter Go to and search for ADCE to see video D2A Digital to analog converter Source: Altera/Agilent joint webcast 6

7 Solution: Channel Simulator with IBIS AMI flow IBIS is Input/output Buffer Information Specification AMI is Algorithmic Modeling Interface IBIS Open Forum added the AMI flow an alternate to the traditional (SPICE-based) flow in IBIS version Agilent supports IBIS 5.1: Two Agilent experts serve on IBIS Open Forum SystemVue for AMI model builders (typically IC vendors) ADS for model users (both IC vendors and OEMs) 7

8 Traditional flow IBIS Compliant Transient Simulator (SPICE) versus AMI flow IBIS Compliant Channel Simulator Traditional *.ibs text file Traditional *.ibs text file plus ref. to Altera s Adaptive Equalizer Signal processing logic block Examples: Tx pre-emphasis Rx adaptive Eq Rx CDR RGEN Reference edge generator. LPF HPF. RECT Rectifiers and integrators Comparators Digital controls and filter Go to and search for ADCE to see video D2A Digital to analog converter *.ami header file (text) *.dll or *.so executable 8

9 What Does IBIS AMI Flow Offer? Portability & IP Protection: One IC model runs in many EDA tools, without the need for non-portable, proprietary encryption keys Interoperability: IC Vendor A IC Vendor B Performance: Ultralow BER contour in seconds not days Flexibility: Simulator has statistical and bit-by-bit ( time domain ) modes Models can have LTI and/or NLTV algorithms IC vendor can expose arbitrary model-parameters Optimization: Simulator can sweep modelspecific parameter quickly 9

10 SystemVue for IC Model Builders Key Technology Investments IC Model Builder: SystemVue HSD Designer: ADS, EMPro Physical Designer: Constraint-based tool e.g. Allegro, Expedition, CR-5000 etc. 10

11 For Model Builders: SystemVue AMI Modeling Kit 11

12 12

13 Pre-standard Capability For Rack-to-rack Optoelectronic Links See W1714, W1713 product pages and brochure EN 13

14 ADS Channel Simulator for IC Model Users Key Technology Investments IC Model Builder: SystemVue HSD Designer: ADS, EMPro Physical Designer: Constraint-based tool e.g. Allegro, Expedition, CR-5000 etc. 14

15 For Model Users: Channel Simulator in ADS Transient Convolution Element Impulse response is calculated using a short, traditional transient simulation Bit by bit mode : Superposition of bits ISI Statistical mode : Statistical techniques 15

16 Example: Determine the Optimum Value of De-emphasis Optimum de-emphasis value: 5.6 db 16

17 Voutv_S, V Voutv, V Voutv_S, V Voutv, V Causal Models from S-Parameters Causal condition: Kramers-Kronig Relations: Understanding the Kramers-Kronig Relation Using A Pictorial Proof Our patented approach Non-rigorous Reference S-parameter Rigorous Reference S-parameter time, nsec time, nsec 17

18 Non-Causal Model Underestimates Eye Closure Incorrect Eye Measurements with Non-Causal Model Correct Eye Measurements with Causal Model 18

19 Measurement-hardened Methodology Validation and Refinement Key Technology Investments IC Model Builder: SystemVue HSD Designer: ADS/EMPro Physical Designer: Constraint-based tool e.g. Allegro, Expedition, CR-5000 etc. 19

20 Verified Versus Measurement 1) Time-domain Tyco TM XAUI Backplane Measured TDR waveform (blue) corresponds exactly to ADS simulation (red) 20

21 Verified Versus Measurement 2) Frequency-domain, time-domain round trip S-parameters from VNA FFT HDMI cable (7 meters): Eye diagram Measured (pink) and simulated (blue) response correspond exactly 21

22 Method BER floor in one minute simulation Applicability & Restrictions Netlists & IBIS traditional flow Computational expensive: Modified nodal analysis of Kirchoff s current laws IBIS AMI flow: Bit-by-bit ( timedomain ) mode Bit-by-bit superposition of impulse responses IBIS AMI flow: Statistical mode Statistical calculations based on impulse response ~10-3 ~10-6 ~10-18 or lower NLTV analog & channel NLTV Tx/Rx LTI analog & channel* *SystemVue and ADS can handle NLTV mid-channel repeaters using proprietary extension NLTV Tx/Rx LTI analog & channel LTI Tx/Rx Notes: LTI = linear and time invarient, NLTV = non-linear and/or time varying Red: Slow/bad; Yellow: in between/slightly restrictive; Green: Fast/good/flexible 22

23 ADS 2012 Supports IBIS 5.1 Plus Pre-standard Capabilities Mid-channel repeaters - electrical and optical LTI and NLTV ADS 2012 and SystemVue 2012 unique in the industry Agilent and Maxim plan to propose this to IBIS Open Forum in 2012 Advanced jitter parameters plus jitter stress tester UI Consistent with a pre-standard proposal known as BIRD 123 On-die s-parameters Consistent with a pre-standard proposal known as BIRDs

24 Mid-channel Repeaters and Rack-to-rack Opto 10 Gb/s and 25Gb/s can propagate: Only a few centimeters on FR4 board Electrical repeater Only a few meters on CAT5 twisted pair Optical fiber comms SystemVue 2012 and ADS 2012: Unique modeling based on IBIS AMI 24

25 Rx Optical Fiber Communication Transceiver 1101 Tx Electrical channel Multimode fiber Electrical channel Multimode fiber Vertical Cavity Surface Emitting Laser (VCSEL) Multi-Mode Fiber (MMF) Transimpedance Amplifier P-type, intrinsic, N-type photodiode (PIN diode) 25

26 Full Channel Optical Link Simulation Flow h AC1 h AC2 Tx AMI Tx Analog model Electrical channel In Analog model Optical AMI Out Analog model Electrical channel Rx Analog model Rx AMI Tx model Optical model Rx model Laser driver VCSEL fiber Photo detector TIA Amp 26

27 Accelerate Batch Jobs: 1000 s of Simulations for Design Space Exploration Regular ADS 2012 on workstation with Channel Simulator, Transient Simulator, and Simulation Manager features e.g. W2210 or W2211 bundle Server farm with one or more W2312 Transient Convolution Distributed Computing 8-packs 27

28 Simulation Manager Controls: W2312 Transient Convolution Distributed Computing 8-pack 28

29 W2500 Transient Convolution GT Update in ADS 2012 Capability: Massively parallel R, L, C, BSIM4 model evaluation with multithreading on hundreds of cores Benefit: Overall transient simulations is 7 faster than CPU alone weekend morning Windows and Linux-64 Supports GPU cards e.g. Tesla C2075 available from NVIDIA: Tesla C2075 image courtesy of NVIDIA 29

30 ADS Transient for traditional IBIS and netlist IC Model Users Key Technology Investments IC Model Builder: SystemVue HSD Designer: ADS/EMPro Physical Designer: Constraint-based tool e.g. Allegro, Expedition, CR-5000 etc. 30

31 Customer Experience With ADS TC Versus HSPICE Solved circuits from 6k transistors to 86k transistors ADS Transient Convolution: Faster than HSPICE in ALL cases DC solve much more robust than HSPICE Accuracy much better than HSPICE Multilayer models much better than HSPICE fieldsolver Post-processing much better than HSPICE/MATLAB In addition: HSPICE doesn t even support NVIDIA GPU acceleration Run times on customer s most challenging circuit: Stop time HSPICE ADS TC ADS TC GT 2 ns 192 hours (8 days) Speed up versus HSPICE 40 hours 10 hours ns No result 136 hours 34 hours??? 31

32 ADS Momentum for EM Models of Post-Layout Artwork Key Technology Investments IC Model Builder: SystemVue HSD Designer: ADS/EMPro Physical Designer: Constraint-based tool e.g. Allegro, Expedition, CR-5000 etc. 32

33 Post-layout Success Story: NVIDIA Company: High-end graphics subsystems for computers Problem: Trial-and-error board spins to eliminate signal integrity problems. No insight. Stressful, non-deterministic product schedules. Product delays and cancellations. Solution: Evaluate EM simulators for fast, post-layout verification and rapid what-if insight, and compelling field plots of fixes. Competitor tools took 3 days just for 4- ports. Momentum gives accurate results on our 18-port extractions in only 2.5 hours. -- Hany Fahmy, Director of Compliance and Regulatory Engineering, NVIDIA Corporation Results: Deterministic schedules. Millions saved. Whole projects saved from cancellation. New, differentiated service ( Virtual EMI Lab ) offered to help their customers be successful quickly 33

34 Allegro/APD to ADS Flow APD/Allegro Momentum Export Setup Select Critical Nets or Entire Layout Select Stackup Layers Cookie-cut Power and Ground Planes Portion Create Ports Export to.ads file Import in ADS Layout Sandbox Ground Ref Port Adjustments if required Check vs spec (e.g crosstalk), visualize, and fine tune Report fixes to physical designer who adjusts golden artwork in Allegro Verify Layout using 3-D Preview and Simulate 34

35 New! W2324 High Capacity Layout Pre-processor Imports ODB++ and IPC-2581 Offspring layout data from all board tools Critical net selection and cookie cutting Exports ADFI format files ADFI = ADS Design Flow Integration Aimed at large designs in Mentor board tools Still recommend our SKILL code library for Allegro flow Still recommend Zuken integration for CR-5000/CR-8000 Native ODB++ and Gerber/drill imports still recommended for small PCBs or IPC-2581 Offspring High Capacity Layout Pre- Processor 35

36 Which EM Solver Should I Use? Start here Geometry Type? Planar / Multilayer pkg/pcb MoM* *fields are solved full 3D, full wave 3D FEM Narrowband High Q Response/ Analysis Type? Broadband TDR impulse FDTD Intermediate FEM High # Ports Device Complexity/ Problem Size? High # Mesh Cells FDTD FEM I like Frequency Domain Personal Preference? Moderate Complexity I like Time Domain FDTD 36

37 ADS with Momentum for 3D Planar EM (Multilayer) Import and draw schematics and multilayer structures ADS Momentum Simulator 3D Planar EM Method of Moments Multilayer Structures+ Momentum is great for multilayered structures like PCBs and IC packages. But what about arbitrary 3D geometries like connectors, ball grid array breakouts, dielectric bricks, bond wires, shields,? 37

38 EMPro Adds EM for Arbitrary 3D Structures to ADS Design Flows Import and draw schematics and multilayer structures ADS Parameterized 3D EM Components EMPro Import and draw 3D structures ADS Layout Export Direct Simulation: No Export Required Momentum Simulator 3D Planar EM Method of Moments Multilayer Structures+ FEM Simulator 3DEM Finite Element Method Arbitrary Structures FDTD Simulator 3DEM Finite Difference, Time Domain Arbitrary Structures 38

39 Power Integrity Challenges IC: packaged die Chip has high i and high di/dt Ceramic cap Bulk cap On-pkg cap Die Voltage Regulation Module PCB 39

40 Power Integrity From a Tx Perspective Combine lumped element models with EM-based model of distributed PCB and package PDN to simulate ripple on VDD and VSS and synchronous switching noise on victim (Rx s signal line SIG) 40

41 ADS Momentum Gives EM Model of Heavily Perforated Power Distribution Networks SI/PI Analyzer handles: Grouping of many physical pins to one electrical port Net-based EM setup User identifies the nets, wizard selects minimum geometric objects required of EM Momentum generates s-parameter EM-based model and look alike symbol Wizard places the symbol into a schematic along with lumped elements VRM, CPM chip model, decoupling capacitors Ideally suited to 2 to 8 layer packages and boards, up to ~15cm Lowest layer count is typically associated with heaviest perforation Consumer electronics boards are typically this size range This segment is not well served by traditional PI tools 41

42 New Power Integrity Example JEDEC DDR3 Reference Design 240-pin UDIMM (unbuffered dual inline memory module) 6 layer board, single power plane for core and I/O buffers Example objectives: Provide impedance profiles for power/ground from DC to 500 MHz Investigate the impact of the decoupling capacitors 42

43 Product Directions: Integration of Field Solvers, Channel Simulator, and Transient Simulator 1. Usability tuned to HSD designers: large PCBs, large port counts, no assumption of background in microwave engineering 2. Channel Simulator: performance in executing the broadest range of IC models (IBIS AMI flow, model generation and model simulation) 3. Transient Convolution: performance in executing the broadest range of IC models (traditional IBIS flow and netlist models esp. HSPICE dialect netlist models) 4. Layout pre-processing and import for EM simulation 5. EM speed and capacity while maintaining appropriate accuracy 43

44 Usability S-parameter import Import port names and differential pairings Enhanced symbol generation New object navigator (enhanced Net Explorer) Via Designer DesignGuides and Compliance DesignKits migrated and integrated IBIS AMI, DDR2/3, PCIe, USB3, HDMI, SAS2, UHS2 Workshop and Classroom training 44

45 S-Parameter Import With Port Names 45

46 Flexible Symbol Generation 46

47 Enhanced Net Explorer for object navigation 47

48 Via Drawing Utility For accurate via modeling use a field solver even for pre-layout Via Drawing Utility automates via design ready for EM modeling in Momentum or FEM Explore the design space to generate constraints 48

49 All New Post-sales Classroom Training 49

50 More Info Product page Blog Self-guided workshop 50

51 Summary Our microwave expertise enables engineering in the multigigabit/s regime Integration of field solvers, Channel Simulator, Transient Convolution New! Mid-channel repeaters electrical and optical New! Channel Simulator, Transient Convolution for server farms New! High Capacity Layout Pre-Processor import artwork from Mentor tools PI of heavily perforated power/ground planes IC Model Builder: SystemVue HSD Designer: ADS/EMPro Physical Designer: Constraint-based tool e.g. Allegro, Expedition, CR-5000 etc. 51

52 Evaluate Our Products Today! agilent.com/find/signal-integrity ADS Core Layout Element SystemVue AMI Modeling Kit Transient Convolution Element Momentum G2 Element EMPro 52

Explore your design space including IBIS AMI models with Advanced Channel Simulation

Explore your design space including IBIS AMI models with Advanced Channel Simulation Explore your design space including IBIS AMI models with Advanced Channel Simulation Heidi Barnes Vincent Poisson Presenter: May, 2013 Agenda How good is my PHY? Channel Simulation Options Spice (Circuit

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Keysight EEsof EDA EMPro

Keysight EEsof EDA EMPro Keysight EEsof EDA EMPro 3D Electromagnetic Modeling and Simulation Environment Integrated with your ADS Design Flow Brochure Introduction Electromagnetic Professional (EMPro) is a 3D modeling and simulation

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Andy Turudic Sr. Manager, High-End FPGAs Altera aturudic@altera.com Amolak Badesha Field Applications Engineer - Agilent 2008 Altera Corporation

More information

SerDes Channel Simulation in FPGAs Using IBIS-AMI

SerDes Channel Simulation in FPGAs Using IBIS-AMI White Paper: Virtex-6 FPGA Family WP382 (v10) December 9, 2010 SerDes Channel Simulation in FPGAs Using IBIS-AMI By: Romi Mayder The IBIS Algorithmic Modeling Interface (IBIS-AMI) was developed to enable

More information

Optimizing High-Speed Digital Channels Using State-of-the-art Signal Integrity Tools

Optimizing High-Speed Digital Channels Using State-of-the-art Signal Integrity Tools Optimizing High-Speed Digital Channels Using State-of-the-art Signal Integrity Tools 2 Welcome and Agenda Time Agenda Presenter 9:00-9:30 Registration & Continental Breakfast 9:30-10:00 Welcome and keynote

More information

SPISim StatEye/AMI User s Guide

SPISim StatEye/AMI User s Guide SPISim StatEye/AMI User s Guide Latest Version: V20180315 SPISim LLC Vancouver, WA 98683, USA Tel. +1-408-905-6692 http://www.spisim.com This user s guide describes the SPISim s StatEye channel analysis

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc.

HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. HFSS 14 Update for SI and RF Applications Markus Kopp Product Manager, Electronics ANSYS, Inc. 1 ANSYS, Inc. September 21, Advanced Solvers: Finite Arrays with DDM 2 ANSYS, Inc. September 21, Finite Arrays

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

Optimization of Modern Memory

Optimization of Modern Memory System Design, Verificationand and Optimization of Modern Memory Interfaces (DDR3) Santa Clara, Aug 23 rd 2011 Robert Myoung Sr. Application Engineer 1 Agenda Introduction ECAD Geometry Translation SI/PI

More information

What s New in HyperLynx 8.0

What s New in HyperLynx 8.0 What s New in HyperLynx 8.0 Copyright Mentor Graphics Corporation 2009 All Rights Reserved. Mentor Graphics, Board Station XE Flow, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner HFSS Solver On Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 Problem Statement Usually SI engineers extract only the package or the pcb due to the trade offs between capacity

More information

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY New Technologies in CST STUDIO SUITE 2016 Outline Design Tools & Modeling Antenna Magus Filter Designer 2D/3D Modeling 3D EM Solver Technology Cable / Circuit / PCB Systems Multiphysics CST Design Tools

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Welcome. Joe Civello ADS Product Manager Agilent Technologies

Welcome. Joe Civello ADS Product Manager Agilent Technologies Welcome Joe Civello ADS Product Manager Agilent Technologies Agilent Technologies 2011 Agenda RF & microwave market trends & how Agilent EEsof is investing its R&D Multi-technology design with ADS 2011

More information

Keysight Technologies Quick Start Guide for ADS in Power Electronics. Demo Guide

Keysight Technologies Quick Start Guide for ADS in Power Electronics. Demo Guide Keysight Technologies Quick Start Guide for ADS in Power Electronics Demo Guide 02 Keysight Quick Start Guide for ADS in Power Electronics - Demo Guide Why Evaluate ADS? Trends in Switched Mode Power Supplies

More information

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 ANSYS, Inc. September 14, Problem Statement Usually SI engineers extract only the package or the pcb due to the trade-offs

More information

A Proposal for Developing S2IBISv3

A Proposal for Developing S2IBISv3 A Proposal for Developing S2IBISv3 Paul Franzon Michael Steer Automated Design Tools for Integrated Mixed Signal Microsystems (NeoCAD) Outline Background DARPA Program NeoCad Program Objectives Program

More information

Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models

Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models Douglas Burns Barry Katz Walter Katz Mike Steinberger Todd Westerhoff Signal Integrity Software, Inc. (SiSoft) Maynard, MA, USA www.sisoft.com

More information

Keysight Technologies Digital Design & Interconnect Standards HARDWARE + SOFTWARE + PEOPLE = DIGITAL INSIGHTS

Keysight Technologies Digital Design & Interconnect Standards HARDWARE + SOFTWARE + PEOPLE = DIGITAL INSIGHTS Keysight Technologies Digital Design & Interconnect Standards HARDWARE + SOFTWARE + PEOPLE = DIGITAL INSIGHTS Introduction When digital signals reach gigabit speeds, the unpredictable becomes normal. In

More information

An Overview of High-Speed Serial Bus Simulation Technologies

An Overview of High-Speed Serial Bus Simulation Technologies An Overview of High-Speed Serial Bus Simulation Technologies Asian IBIS Summit, Beijing, China September 11, 27.25.2.15.1.5 -.5 -.1 Arpad Muranyi arpad_muranyi@mentor.com Vladimir Dmitriev-Zdorov -.15

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

A New Method For Developing IBIS-AMI Models

A New Method For Developing IBIS-AMI Models A New Method For Developing IBIS-AMI Models Hongtao Zhang, hongtao@xilinx.com John Baprawski, john.baprawski@gmail.com Pegah Alavi, pegah_alavi@keysight.com Geoff Zhang, geoffz@xilinx.com Executive Summary

More information

Serial Link Analysis and PLL Model

Serial Link Analysis and PLL Model 25. July 2007 Serial Link Analysis and PLL Model September 11, 2007 Asian IBIS Summit, Beijing China Huang Chunxing huangchunxing@huawei.com www.huawei.com HUAWEI TECHNOLOGIES Co., Ltd. Agenda High-speed

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

IBIS-ATM Update: SerDes Modeling and IBIS

IBIS-ATM Update: SerDes Modeling and IBIS IBIS-ATM Update: SerDes Modeling and IBIS (Originally presented at the Sept 11 th Summit in Beijing) Presented by: Todd Westerhoff, SiSoft twesterh@sisoft.com IBIS Summit Tokyo, Japan September 14, 2007

More information

Building IBIS-AMI Models for DDR5 Applications. Todd Westerhoff, SiSoft

Building IBIS-AMI Models for DDR5 Applications. Todd Westerhoff, SiSoft Building IBIS-AMI Models for DDR5 Applications Todd Westerhoff, SiSoft SPEAKERS Image Todd Westerhoff VP, Semiconductor Relations, SiSoft twesterh@sisoft.com www.sisoft.com Todd has over 37 years of experience

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

Advances in Measurement Based Transient Simulation

Advances in Measurement Based Transient Simulation Time Domain Simulation in ADS, Slide - 1 Advances in Measurement Based Transient Simulation Presented by GigaTest Labs Gary Otonari and Orlando Bell March, 2008 1 Time Domain Simulation in ADS, Slide -

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation Jia Wei, Sunanbing, Zhu ShunLin Jia.wei@zte.com.cn, sun.anbing@zte.com.cn, Zhu.shunlin@zte.com.cn High-Speed System Lab,ZTE

More information

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012 Electromagnetics R14 Update Greg Pitner 1 HFSS Version 14 2 HFSS Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving: FEBI, IE Regions Physical Optics

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

Realize Your Product Promise. DesignerRF

Realize Your Product Promise. DesignerRF Realize Your Product Promise DesignerRF Four-element antenna array showing current distribution and far-field gain, created in DesignerRF using layout editor and solved via HFSS with Solver on Demand technology

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach

Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach Modern Memory Interfaces (DDR3) Design with ANSYS Virtual Prototype approach 1 ANSYS, Inc. Proprietary 2012 ANSYS, Inc. November 14, 2012 1-1 Agenda DDR Design Challenges How does simulation solve these

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools Bruce Archambeault, Ph. D Satish Pratapneni, Ph.D. David C. Wittwer, Ph. D Lauren Zhang, Ph.D. Juan Chen,

More information

Agilent Technologies Advanced Signal Integrity

Agilent Technologies Advanced Signal Integrity Agilent Technologies Advanced Signal Integrity Measurements for Next Generation High Speed Serial Standards Last Update 2012/04/24 (YS) Appendix VNA or TDR Scope? ENA Option TDR Overview USB 3.0 Cable/Connector

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

Concerns when applying Channel Simulation to DDR4 Interface

Concerns when applying Channel Simulation to DDR4 Interface Concerns when applying Channel Simulation to DDR4 Interface Masaki Kirinaka mkirinaka@jp.fujitsu.com Akiko Tsukada tsukada.akiko@jp.fujitsu.com FUJITSU INTERCONNECT TECHNOLOGIES LIMITED Asian IBIS Summit

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

High-Speed Digital Design & Verification Seminar November Copyright 2013 Agilent Technologies

High-Speed Digital Design & Verification Seminar November Copyright 2013 Agilent Technologies 1 High-Speed Digital Design & Verification Seminar November 2013 Copyright 2013 Agilent Technologies Welcome and Agenda Time Agenda Presenter 9:30-10:00 Registration & Continental Breakfast 10:00-10:30

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information

Outline. Darren Wang ADS Momentum P2

Outline. Darren Wang ADS Momentum P2 Outline Momentum Basics: Microstrip Meander Line Momentum RF Mode: RFIC Launch Designing with Momentum: Via Fed Patch Antenna Momentum Techniques: 3dB Splitter Look-alike Momentum Optimization: 3 GHz Band

More information

Signal Integrity Analysis

Signal Integrity Analysis Mixed Signal Expands Channel SI Horizon Modeling for Signal Integrity Analysis Saliou DIEYE Agilent EESOF EDA Saliou_dieye@agilent.com Riccardo GIACOMETTI Agilent EESOF EDA Riccardo_giacometti@agilent.com

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM 1 SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD- Introduction Medical Implanted Communication Service (MICS) has received a lot of attention recently. The MICS

More information

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017

Leveraging IBIS Capabilities for Multi-Gigabit Interfaces. Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Leveraging IBIS Capabilities for Multi-Gigabit Interfaces Ken Willis - Cadence Design Systems Asian IBIS Summit, Shanghai, PRC November 13, 2017 Overview In writing EDI CON paper Signal Integrity Methodology

More information

Advances in 3D Simulations of Chip/Package/PCB Co-Design

Advances in 3D Simulations of Chip/Package/PCB Co-Design Advances in 3D Simulations of Chip/Package/PCB Co-Design Richard Sjiariel, CST AG Co-design environment Signal Integrity and timing Thermal analysis and stress Power Integrity and noise analysis EMC/EMI

More information

The Fast Track to PCIe 5.0

The Fast Track to PCIe 5.0 WHITE PAPER The Fast Track to PCIe 5.0 Doubling the Throughput of PCIe 4.0 to 32 GT/s Data center operators need to embrace next-generation technologies to support the response times and high bandwidth

More information

Proposal for modeling advanced SERDES

Proposal for modeling advanced SERDES Proposal for modeling advanced SERDES IBM, Cadence June 2006 1 CADENCE DESIGN SYSTEMS, INC. Presenters, Contributors Presenters / Contributors 1. Joe Abler IBM Systems & Technology Group High Speed Serial

More information

Agilent Bead Probe Technology

Agilent Bead Probe Technology Agilent Bead Probe Technology Page 1 Abstract Lead-free, shrinking geometries, new packages and high-speed signaling present new challenges for ICT. The impact will be more defects, loss of access, lower

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Obsolete. LX1800 SMBus TO ANALOG INTERFACE

Obsolete. LX1800 SMBus TO ANALOG INTERFACE LX1800 SMBus TO ANALOG INTERFACE TM Page 1 INTRODUCING TO PRODUCT The LX1800 Evaluation Board is available from for evaluating the functionality and performance of the LX1800 SMBus to Analog Interface

More information

PCB PCB. (dielectric constant) (Crosstalk) ground guard/shunt traces. (termination) side-by-side

PCB PCB. (dielectric constant) (Crosstalk) ground guard/shunt traces. (termination) side-by-side PCB 1 PCB PCB PCB ( GHz ) FR-4 GHz (dielectric loss) (dielectric constant) 2 (Crosstalk) ground guard/shunt traces 3 (output impedance)(topology) (termination) 4 ( ) (side-by-side) (over-under) side-by-side

More information

Arria V GX Video Development System

Arria V GX Video Development System Arria V GX Video Development System Like Sign Up to see what your friends like. The Arria V GX FPGA Video Development System is an ideal video processing platform for high-performance, cost-effective video

More information

SerDes Modeling: IBIS-ATM & Model Validation July 2007

SerDes Modeling: IBIS-ATM & Model Validation July 2007 SerDes Modeling: IBIS-ATM & Model Validation July 2007 Signal Integrity Software, Inc. IBIS-ATM Effort Goal: SerDes Rx/TX model interoperability Multiple EDA environments Multiple SerDes vendor models

More information

PCI Express 4.0. Electrical compliance test overview

PCI Express 4.0. Electrical compliance test overview PCI Express 4.0 Electrical compliance test overview Agenda PCI Express 4.0 electrical compliance test overview Required test equipment Test procedures: Q&A Transmitter Electrical testing Transmitter Link

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

Automated AMI Model Generation & Validation

Automated AMI Model Generation & Validation Automated AMI Model Generation & Validation José Luis Pino Amolak Badesha Agilent EEsof EDA Manuel Luschas Antonis Orphanou Halil Civit Asian IBIS Summit, Shenzhen China November 9, 2010 (Presented previously

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Todd Westerhoff (SiSoft) Mike Steinberger (SiSoft) Walter Katz (SiSoft) Barry Katz (SiSoft) Adge Hawes (IBM) Kent

More information

Introduction to AWR Design Flow and New Features for V10

Introduction to AWR Design Flow and New Features for V10 Introduction to AWR Design Flow and New Features for V10 What s New In Version 10 imatch Matching Network Synthesis Matching Network Synthesis Tight integration with AWR tools Excellent starting point

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

Signal Integrity for High Speed Digital Design Introduction

Signal Integrity for High Speed Digital Design Introduction Signal Integrity for High Speed Digital Design Introduction Gustaaf Sutorius Application Engineer Agenda Signal Integrity for High Speed Digital Design : introduction 1. Introduction Agilent 2. Typical

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM DATASHEET ALLEGRO PCB SI 630 VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS Cadence Allegro PCB SI 630 a key PCB Signal Integrity tool within the Allegro system interconnect design

More information

BG2D Solderless Connection Gate Drive Prototype Board

BG2D Solderless Connection Gate Drive Prototype Board Application NOTES: First Release: May, 2008 BG2D Solderless Connection Gate Drive Prototype Board Description: The BG2D is a two channel gate drive circuit for that the dual NX series modules pins plug

More information

Designing the Right Ethernet Interconnect to Increase High-Speed Data Transmission in Military Aircraft. White Paper

Designing the Right Ethernet Interconnect to Increase High-Speed Data Transmission in Military Aircraft. White Paper Designing the Right Ethernet Interconnect to Increase High-Speed Data Transmission in Military Aircraft White Paper May 216 Abstract: Designing the right high-speed Interconnect that enables systems to

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

Allegro PCB PDN Analysis User Guide

Allegro PCB PDN Analysis User Guide Product Version 16.6 October 2012 Document Last Updated On: November 20, 2012 1991 2013 Cadence Design Systems, Inc. All rights reserved. Portions Apache Software Foundation, Sun Microsystems, Free Software

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

Application Note 1242

Application Note 1242 HFBR-5701L/5710L/5720L/5730L and HDMP-1687 Reference Design for 1.25 GBd Gigabit Ethernet and 1.0625 GBd Fiber Channel Applications Application Note 1242 Introduction Avago s objective in creating this

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

PCI Express Electrical Basics

PCI Express Electrical Basics PCI Express Electrical Basics Dean Gonzales Advanced Micro Devices Copyright 2015, PCI-SIG, All Rights Reserved 1 Topics PCI Express Overview Enhancements for 8GT/s Target Channels for the Specification

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

Simulation Advances for RF, Microwave and Antenna Applications

Simulation Advances for RF, Microwave and Antenna Applications Simulation Advances for RF, Microwave and Antenna Applications Bill McGinn Application Engineer 1 Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving:

More information

AN-715 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/

AN-715 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/ APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/326-8703 www.analog.com A First Approach to IBIS Models: What They Are and How They Are Generated by

More information

DCN23 Digital Crossover with 2 inputs and 3 outputs

DCN23 Digital Crossover with 2 inputs and 3 outputs DCN23 Digital Crossover with 2 inputs and 3 outputs Features High performance Burr-Brown converters 24bit resolution 96kHz sampling frequency XOverWizard software Optical isolated USB interface 48 biquads

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information