All Programmable Technologies in Academia

Size: px
Start display at page:

Download "All Programmable Technologies in Academia"

Transcription

1 All Programmable Technologies in Academia Patrick Lysaght Senior Director

2 Agenda Xilinx: a Generation Ahead at 28nm The Industrial Internet ~ aka The Internet of Things Academia in Transition The Post-PC Era ~ The rising importance of embedded SOCs ZED: Zynq All programmable SoCs in Education Vivado Design Suite: a CAD suite for All Programmable Systems Page 2

3 A Generation Ahead at 28nm 28nm Xilinx at 28nm Portfolio: All Programmable FPGAs, SoCs and 3D ICs today Product: Extra node of performance, power and integration Productivity: Unmatched time to integration and implementation Page 3

4 The First System Optimized FPGAs FPGAs Scalable and System Optimized Architecture In production now Virtex-7 2x bandwidth & capacity, 35% power, SerDes Kintex-7 35% power, 45% faster logic, 2x DSP Artix-7 15% faster and $5-$10 lower system BOM Page 4

5 The First All Programmable 3D IC 7V2000T in production now 2x capacity, 2x bandwidth 4x more 28Gbps SerDes channels Only programmable homogeneous and heterogeneous 3D IC Volume ramped significantly in Q2, 2012 Page 5

6 Heterogeneous Integration Highest bandwidth FPGA with 278 Tb/s serial connectivity Electrically-isolated 28G transceivers for optimal signal integrity 28G Transceivers Homogeneous digital logic 28G Transceivers Different silicon processes Passive interposer Noise isolation 13G Transceivers Page 6

7 The First All Programmable SoC All devices in production now >25% ARM performance, 45% logic performance Highest productivity with Vivado HLS, ARM ecosystem All Major OS s supported and in use, 20 unique dev boards 350+ Customers actively designing,100+ partners Shipped 20,000 devices, 4000 development boards Page 7

8 The First SoC Strength Design Suite In production now Built from the ground up for the next decade of devices Now used for ~50% of 28nm designs, 100% of 3D ICs Delivering 4x productivity, turning months to weeks Page 8

9 Industry Mandates Programmable Imperative Programmable Systems Integration Insatiable Intelligent Bandwidth Page 9

10 Insatiable Intelligent Bandwidth Overall IP Traffic in exabytes per month: CAGR 29% We Will Soon Live in a 100 Gb World Page 10

11 IPV6 enables Dramatic M2M Growth IPv6-Capable Fixed Devices by Device type, in Millions, % CAGR in Machine-to-Machine traffic starting from 2012 Source: The Zettabyte Era, Cisco VNI: Forecast and Methodology,

12 The Internet of Things The internet of things was born between 2008 and 2009 when for the first time more things or objects were connected to the Internet than people Page 12

13 IOT an Internet dominated by networked things (not PCs, cell phones and tablets) The Internet of Things, is the general idea of things, especially everyday objects, that are readable, recognizable, locatable, addressable, and controllable via the Internet US National Intelligence Council Today, more than 20 percent of Internet traffic originates from noncomputing devices Predictions are that by 2020, as many as 50 billion machines will be plugged into the Internet Tremendous opportunities for multi-disciplinary teaching research in networked, embedded systems Page 13

14 Re-target Internet technologies for the benefit of big industry Source: Industrial Internet: Pushing the Boundaries of Minds and Machines Peter C Evans and Marco Annunziata, GE, November 26, 2012 Page 14

15 Scale up the vision on a grand scale and we get the Industrial Internet Source: Industrial Internet: Pushing the Boundaries of Minds and Machines Peter C Evans and Marco Annunziata, GE, November 26, 2012 Page 15

16 Illustrative Classes of Large Rotating Machines aka Big Things that Spin Source: Page 16 Industrial Internet: Pushing the Boundaries of Minds and Machines Peter C Evans and Marco Annunziata, GE, November 26, 2012

17 Motivation: The power of 1% Source: Industrial Internet: Pushing the Boundaries of Minds and Machines Peter C Evans and Marco Annunziata, GE, November 26, 2012 Page 17

18 The Merger of Industrial Revolution and Internet Revolution is a Complex Vision These opportunities have their doppelgängers Cyber security challenge to critical infrastructure Shortage of high-quality electricity for exploding data center demand Challenge of energy-efficient, high performance computing Especially high performance, embedded computing Immediate skills shortage From digital mechanical engineers to the data analytics scientists

19 Meanwhile, Academia is in Transition Prof John Hennessy, President of Stanford University, says that this change is due to the coming tsunami in educational technology Page 19

20 Agents of Change in Educational Technology New delivery formats edx, Coursera, Udacity Khan Academy, Codeacademy Broadband Internet Video everywhere YouTube E-Books Printing-on-Demand Search engines, etc Google, Wikipedia Social media Peer interaction & learning Open Source Software & textbooks Rapid curriculum expansion Especially in electronics & computing Page 20

21 Characteristics of The Post-PC ERA Universal mobility & connectivity The Internet of people is expanding to the Internet of things Computing has become an immersive, connected experience The Cloud underpins the mobile experience Embedded systems using systems-on-chip (SOC) are the key technology enabler of the Post-PC era They are drivers for major changes in engineering education Page 21

22 Enabling Post-PC ERA Engineering Education The PC is not dead it remains a powerful tool for more competent users But non-pc, consumer, electronic devices proliferate These devices have more specialized functionalities and more intuitive interfaces, for example smart phones, tablets, E-readers, HDTVs Embedded heterogeneous SOCs will drive of the Industrial Internet The curriculum in Electronics and Computer Engineering must change to meets the need to teach and research the challenges of engineering of SOCs in embedded systems Education for SOC Engineering is Vital Page 22

23 The Emerging Educational Challenges Coping with curriculum expansion driven by the rise of SOC technology in embedded systems in the post PC era Educating a generation of engineers in systems design and integration Educating students to understand and practice design re-use by using third-party IP and designing for re-use by creating their own re-usable IP Introducing High-level Synthesis from traditional software programming languages such as C, C++, SystemC Page 23

24 256 An Image Processing Example The Back Projection algorithm is used in variety of tomography applications, including CAT scanners Takes raw data from a scan at different angles and reconstructs an image based on that data From Datasets re-construct the image Page 24

25 Complete Design Consumes 2 Watts!!! ARM CoreSight Multi-core & Trace Debug NEON /FPU Engine Cortex -A9 MP Core 32/32 KB I/D Caches NEON /FPU Engine Cortex -A9 MP Core 32/32 KB I/D Caches ACP m s s AXI4 interconnect s s 512 KB L2 Cache Snoop Control Unit (SCU) Timers / Counters General Interrupt Controller 256 KB On-Chip Memory DMA Configuration s m m AXI_DMA s m m AXI_DMA Memory AMBA Switches Accelerator Accelerator m s s HDMI Output AXI4 Lite interconnect m m m m Page 25

26 The Next Step: Design for Re-use ARM CoreSight Multi-core & Trace Debug NEON /FPU Engine Cortex -A9 MP Core 32/32 KB I/D Caches NEON /FPU Engine Cortex -A9 MP Core 32/32 KB I/D Caches ACP m s s AXI4 interconnect s s 512 KB L2 Cache Snoop Control Unit (SCU) Timers / Counters General Interrupt Controller 256 KB On-Chip Memory DMA Configuration s m m AXI_DMA s m m AXI_DMA Memory AMBA Switches Accelerator Accelerator m s s HDMI Output AXI4 Lite interconnect m m m m These are the only new IP blocks in the design Page 26

27 Zynq-7000: ALL PROGRAMMABLE Platform for Post-PC Era Engineering Education Complete ARM -based Processing System Dual ARM Cortex -A9 MPCore, processor centric Integrated memory controllers & peripherals Fully autonomous to the Programmable Logic Tightly Integrated Programmable Logic Processing System Memory Interfaces 7 Series Programmable Logic Used to extend Processing System High performance ARM AXI interfaces Scalable density and performance Common Peripherals ARM Dual Cortex-A9 MPCore System Common Peripherals Custom Peripherals Flexible Array of I/O Common Accelerators Custom Accelerators Wide range of external multi-standard I/O High performance integrated serial transceivers Analog-to-Digital Converter inputs Best-in-class Embedded Processing and FPGA Technologies Page 27

28 Embedded Processing Leader for Post-PC Era SOC Designs ARM is the world s leading semiconductor IP company 800 processor licenses sold to more than 250 companies Over 20 billion ARM based chips shipped to date Two billion chips based on ARM RISC processor technology shipped during the second quarter of 2012* In contrast: Fewer than 100 million worldwide PC shipments in Q2 2012** * Source wwwarmcom ; ** Source Gartner Page 28

29 Zed Board: Zynq in Education and Development Low cost Zynq Evaluation and Development Kit (XC7Z020) Open source SW and IP Linux Eclipse based IDE Vivado HLS: C to FPGA Reference designs See zedboardorg Configurable levels of abstraction for the first-time, novice user, or the most advanced researcher Page 29

30 ZRobot Robot Example ZED board enabled Robot wirelessly controlled by an Android tablet with full video relay from ZRobot cameras to tablet display See the demo at the Xilinx Booth Page 30

31 Next Steps: ZRobot- Mark 2 Android App Remote Video Remote Control IE Webpage Robotics/Industrial RTOS Advanced Motor Control Linux Boa Webserver MJPEG Encoding OpenCV Computer Vision WIFI Access Point DDR 3 Car Wheels Processing System GE DDR Memory Controller AMBA Switches Programmable Logic Motor Control PWM Robotic ARMs Status LEDs GPIO APU Dual ARM Cortex-A9 Video Pre-processing Surround CCD Cameras SD Card SDIO AMBA Switches AXI4 Interconnect (Lite) Sensor Interfaces Sensor Farm S_AXI_HP 64 bit S_AXI_GP 32b bit Voice Processing Face Detection Lane Detection Computer Vision ZED Board Sensor & Peripheral Reference Designs Page 31 Copyright Xilinx

32 Xilinx Tools: From Vision to Deployment More Than Just Silicon A Comprehensive Platform Offering Page 32

33 Vivado Design Reuse: Hierarchical Design Flows Design Reuse Flow enables parallel implementation for Team Design Place & Route modules out of context from top level design Iterate on these modules without overhead of the full design Assemble results in context of top for exact preservation Package IP and reuse in new designs Reuse module as a pre-verified placed & routed result Page 33

34 Package Designs into System-Level IP for Reuse Standardized IP-XACT representation Vivado IP Integrator Memory Interface Source (C, RTL, IP, etc) Simulation Models Documentation Example Designs Test Bench IP Packager Xilinx IP 3 rd Party IP User IP PCIe Processor System Memory Interfaces Embedded Interconnect Display User IP Xilinx IP 3 rd Party IP Processing Datapath Share IP within your team, project or company 3 rd party IP delivered with a common look and feel Reuse IP at any point in the implementation process Source, placed, or placed and routed Reuse in different designs Reuse multiple times Page 34

35 Seamless IP Access and Customization Integrated IP catalog Powerful search capabilities Single-click access to IP functionality and collateral IP customization and generation Instant access to customization GUI Generate output products in project or remote directory Customize graphically or via Tcl Page 35

36 IP Packager: IP-XACT IEEE 1685 IP-XACT is an industry standard way to represent data about IP (meta-data) Port information Latency Configurable parameters Etc ASCII XML based Enables IP to be used in multiple vendor tools flows Page 36

37 Extensible IP Catalog: Add Packaged IP 1 Unzip IP to a local directory 2 Right-click on IP Catalog 3 Add directory to IP Catalog Page 37

38 Vivado IP Integrator A graphical design environment to enable rapid and accurate connection of complex IP Connections made at the interface level, not the individual signal level Automatic setting and propagation of IP parameters Automated generated of RTL Full support for arbitrary levels of design hierarchy Capable of processor-based or non-processor based design creation Tight integration with Vivado IP Packager flow for rapid IP and subsystem reuse Page 38

39 IP Integrator User Interface Hierarchy Support System Hierarchy View Interface Connections with Real-time DRCs TCL Console Page 39

40 Vivado High-Level Synthesis Design Flow Vivado HLS Starts at C C Function C Design C Specification C Verification C Test Bench C++ SystemC Produces RTL Architecture RTL Design C Synthesis Verilog VHDL SystemC RTL Verification C Wrapper Behavioral Verification Automates Flow RTL Verification IP Block Packaging Vivado IP Packager IP Packaging IP Package Vivado IP Integrator System Generator Page 40

41 Function versus Architecture Function Sequential void top ( int& dout1, int& dout2, int din1, int din2 ) { dout1 = din1+din2; dout2 = din1*din2; } Architecture Interface module top (dout1,dout2, din1,din2, ovld,ivld, clk,rst); output [31:0] dout1,dout2; output ovld; input [31:0] din1,din2; input ivld; input clk; input rst; reg [31:0] tmp,rdin1,rdin2; reg [31:0] dout1,dout2; reg ovld; reg [1:0] state, next_state; parameter RESET=2 b00,input=2 b01, CALC=2 b10,output=2 b11; Parallel Process endmodule Storage State machine clk) begin if (rst == 1 b1) state <= RESET; else state <= next_state; case (state) RST: next_state <= INPUT; INPUT: if (ivld == 1 b1) begin next_state <= CALC; rdin1 <= din1; rdin2 <= din2; end CALC: next_state <= OUTPUT; OUTPUT: next_state <= RESET; default: next_state <= RESET; endcase end OUTPUT RESET CALC rst INPUT Datapath clk) case (state) RST: begin dout1 <= 32 b0; dout2 <= 32 b0; ovld <= 0 b0; end CALC: begin dout1 <= din1+din2; din1 tmp <= din1*din2; end OUTPUT: begin dout2 <= tmp; ovld <= 1 b1; din2 end endcase ivld!ivld + * dout1 dout2 Page 41

42 Zynq and Vivado in Education Zynq All Programmable SoCs integrate state-of-the-art FPGA technology with best-in-class embedded CPUs to define a new class of ALL PROGRAMMABLE SOC devices which are ideal for teaching and research Vivado Design Suite is a new tool suite based on hierarchical, design re-use and high-level synthesis which has been designed for the next decade of programmable systems integration Teaching and researching the principles and practice of SOC engineering is now possible at the undergraduate and graduate levels Page 42

43 Promoting & Disseminating Best Practice The collective opportunity is huge but the complexity is nontrivial Especially for integrated multi-disciplinary teaching and research The individual contribution is crucial But the challenge can be overwhelming in isolation Effective collaboration and re-use are essential Not only to promote rapid dissemination But also rapid, widespread reuse of best practice The Internet is both the challenge and the opportunity Page 43

44 XUP s Charter Xilinx is a learning company We provide enabling technologies not vertical products so we are constantly learning from our customers and partners XUP adopts the same approach with our academic partners We strive to Provide the best possible enabling technology Identify and support best teaching and research practices Partner to disseminate best practice as widely as possible So please, give us your feedback We need your good ideas! Page 44

45 Closing Thoughts Don t believe everything you read on the Internet Abraham Lincoln, US President Page 45

46 Enabling Technologies for Academia Xilinx: All Programmable leadership at 28nm The Internet is both the opportunity and the challenge Zynq and Vivado 28nm will enable Professors and students to reach new levels of creativity and collaboration in their teaching and research Page 46

47 Thank You Page 47

FPGA Entering the Era of the All Programmable SoC

FPGA Entering the Era of the All Programmable SoC FPGA Entering the Era of the All Programmable SoC Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates on Cost Page 3 Design Cost Estimated Chip

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

Cost-Optimized Backgrounder

Cost-Optimized Backgrounder Cost-Optimized Backgrounder A Cost-Optimized FPGA & SoC Portfolio for Part or All of Your System Optimizing a system for cost requires analysis of every silicon device on the board, particularly the high

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Vivado Design Suite. By: Tom Feist

Vivado Design Suite. By: Tom Feist White Paper: Vivado Design Suite WP416 (v1.0) April 24, 2012 Vivado Design Suite By: Tom Feist The Vivado Design Suite is a new IP and system-centric design environment that accelerates design productivity

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator Embedded Computing Conference 2017 Matthias Frei zhaw InES Patrick Müller Enclustra GmbH 5 September 2017 Agenda Enclustra introduction

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a design in hardware, and at least 3 advantages of implementing the remaining portions of the design in

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Extending the Power of FPGAs

Extending the Power of FPGAs Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of FPGAs and FPGA Programming IP-Centric Design with

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator FPGA Kongress München 2017 Martin Heimlicher Enclustra GmbH Agenda 2 What is Visual System Integrator? Introduction Platform

More information

Designing Multi-Channel, Real-Time Video Processors with Zynq All Programmable SoC Hyuk Kim Embedded Specialist Jun, 2014

Designing Multi-Channel, Real-Time Video Processors with Zynq All Programmable SoC Hyuk Kim Embedded Specialist Jun, 2014 Designing Multi-Channel, Real-Time Video Processors with Zynq All Programmable SoC Hyuk Kim Embedded Specialist Jun, 2014 Broadcast & Pro A/V Landscape Xilinx Smarter Vision in action across the entire

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Extending the Power of FPGAs to Software Developers:

Extending the Power of FPGAs to Software Developers: Extending the Power of FPGAs to Software Developers: The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Group Page 1 Agenda The Evolution of FPGAs and FPGA Programming

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Effective System Design with ARM System IP

Effective System Design with ARM System IP Effective System Design with ARM System IP Mentor Technical Forum 2009 Serge Poublan Product Marketing Manager ARM 1 Higher level of integration WiFi Platform OS Graphic 13 days standby Bluetooth MP3 Camera

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Optimizing HW/SW Partition of a Complex Embedded Systems. Simon George November 2015.

Optimizing HW/SW Partition of a Complex Embedded Systems. Simon George November 2015. Optimizing HW/SW Partition of a Complex Embedded Systems Simon George November 2015 Zynq-7000 All Programmable SoC HP ACP GP Page 2 Zynq UltraScale+ MPSoC Page 3 HW/SW Optimization Challenges application()

More information

借助 SDSoC 快速開發複雜的嵌入式應用

借助 SDSoC 快速開發複雜的嵌入式應用 借助 SDSoC 快速開發複雜的嵌入式應用 May 2017 What Is C/C++ Development System-level Profiling SoC application-like programming Tools and IP for system-level profiling Specify C/C++ Functions for Acceleration Full System

More information

There s STILL plenty of room at the bottom! Andreas Olofsson

There s STILL plenty of room at the bottom! Andreas Olofsson There s STILL plenty of room at the bottom! Andreas Olofsson 1 Richard Feynman s Lecture (1959) There's Plenty of Room at the Bottom An Invitation to Enter a New Field of Physics Why cannot we write the

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

ARM processors driving automotive innovation

ARM processors driving automotive innovation ARM processors driving automotive innovation Chris Turner Director of advanced technology marketing, CPU group ARM tech forums, Seoul and Taipei June/July 2016 The ultimate intelligent connected device

More information

9 REASONS WHY THE VIVADO DESIGN SUITE ACCELERATES DESIGN PRODUCTIVITY

9 REASONS WHY THE VIVADO DESIGN SUITE ACCELERATES DESIGN PRODUCTIVITY 9 REASONS WHY THE VIVADO DESIGN SUITE ACCELERATES DESIGN PRODUCTIVITY Does your development team need to create complex, All Programmable Abstraction and competitive, next-generation systems in a hurry?

More information

Adaptable Intelligence The Next Computing Era

Adaptable Intelligence The Next Computing Era Adaptable Intelligence The Next Computing Era Hot Chips, August 21, 2018 Victor Peng, CEO, Xilinx Pervasive Intelligence from Cloud to Edge to Endpoints >> 1 Exponential Growth and Opportunities Data Explosion

More information

Evolving IP configurability and the need for intelligent IP configuration

Evolving IP configurability and the need for intelligent IP configuration Evolving IP configurability and the need for intelligent IP configuration Mayank Sharma Product Manager ARM Tech Symposia India December 7 th 2016 Increasing IP integration costs per node $140 $120 $M

More information

RapidIO.org Update.

RapidIO.org Update. RapidIO.org Update rickoco@rapidio.org June 2015 2015 RapidIO.org 1 Outline RapidIO Overview Benefits Interconnect Comparison Ecosystem System Challenges RapidIO Markets Data Center & HPC Communications

More information

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013 Leading the 10Gig Wave Jefferies 2013 Global Technology Conference May 7, 2013 Company Snapshot Market Leader in 10GE for Big Data & Enterprise Aquantia has shipped 2 Million 10GBASE-T ports Fabless semiconductor

More information

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1 ARM Cortex-A9 ARM v7-a A programmer s perspective Part1 ARM: Advanced RISC Machine First appeared in 1985 as Acorn RISC Machine from Acorn Computers in Manchester England Limited success outcompeted by

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

RapidIO.org Update. Mar RapidIO.org 1

RapidIO.org Update. Mar RapidIO.org 1 RapidIO.org Update rickoco@rapidio.org Mar 2015 2015 RapidIO.org 1 Outline RapidIO Overview & Markets Data Center & HPC Communications Infrastructure Industrial Automation Military & Aerospace RapidIO.org

More information

Designing a Multi-Processor based system with FPGAs

Designing a Multi-Processor based system with FPGAs Designing a Multi-Processor based system with FPGAs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer / Consultant Cereslaan

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Design AXI Master IP using Vivado HLS tool

Design AXI Master IP using Vivado HLS tool W H I T E P A P E R Venkatesh W VLSI Design Engineer and Srikanth Reddy Sr.VLSI Design Engineer Design AXI Master IP using Vivado HLS tool Abstract Vivado HLS (High-Level Synthesis) tool converts C, C++

More information

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive)

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVDLA NVIDIA DEEP LEARNING ACCELERATOR IP Core for deep learning part of NVIDIA s Xavier

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Moving a Generation Ahead with

Moving a Generation Ahead with Moving a Generation Ahead with All Programmable FPGAs, SoCs, and 3D ICs At the 28nm node, Xilinx introduced several new technologies that created an extra generation of value for customers and moved Xilinx

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow jim@mathworks.com 2014 The MathWorks, Inc. 1 Model-Based Design: From Concept to Production RESEARCH DESIGN

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES Design: Part 1 High Level Synthesis (Xilinx Vivado HLS) Part 2 SDSoC (Xilinx, HLS + ARM) Part 3 OpenCL (Altera OpenCL SDK) Verification:

More information

Atlys (Xilinx Spartan-6 LX45)

Atlys (Xilinx Spartan-6 LX45) Boards & FPGA Systems and and Robotics how to use them 1 Atlys (Xilinx Spartan-6 LX45) Medium capacity Video in/out (both DVI) Audio AC97 codec 220 US$ (academic) Gbit Ethernet 128Mbyte DDR2 memory USB

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

SDSoC: Session 1

SDSoC: Session 1 SDSoC: Session 1 ADAM@ADIUVOENGINEERING.COM What is SDSoC SDSoC is a system optimising compiler which allows us to optimise Zynq PS / PL Zynq MPSoC PS / PL MicroBlaze What does this mean? Following the

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Smart Payments. Generating a seamless experience in a digital world.

Smart Payments. Generating a seamless experience in a digital world. Smart Payments Generating a seamless experience in a digital world www.infineon.com/payment Trends Rising need for security The trends highlighted opposite are heightening the need for security and performance,

More information

Accelerating Implementation of Low Power Artificial Intelligence at the Edge

Accelerating Implementation of Low Power Artificial Intelligence at the Edge Accelerating Implementation of Low Power Artificial Intelligence at the Edge A Lattice Semiconductor White Paper November 2018 The emergence of smart factories, cities, homes and mobile are driving shifts

More information

The Next Steps in the Evolution of Embedded Processors

The Next Steps in the Evolution of Embedded Processors The Next Steps in the Evolution of Embedded Processors Terry Kim Staff FAE, ARM Korea ARM Tech Forum Singapore July 12 th 2017 Cortex-M Processors Serving Connected Applications Energy grid Automotive

More information

New ARMv8-R technology for real-time control in safetyrelated

New ARMv8-R technology for real-time control in safetyrelated New ARMv8-R technology for real-time control in safetyrelated applications James Scobie Product manager ARM Technical Symposium China: Automotive, Industrial & Functional Safety October 31 st 2016 November

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

Introduction CHAPTER IN THIS CHAPTER

Introduction CHAPTER IN THIS CHAPTER CHAPTER Introduction 1 IN THIS CHAPTER What Is the ARM Cortex-M3 Processor?... 1 Background of ARM and ARM Architecture... 2 Instruction Set Development... 7 The Thumb-2 Technology and Instruction Set

More information

Signs of Intelligent Life: AI Simplifies IoT

Signs of Intelligent Life: AI Simplifies IoT Signs of Intelligent Life: AI Simplifies IoT JEDEC Mobile & IOT Forum Stephen Lum Samsung Semiconductor, Inc. Copyright 2018 APPLICATIONS DRIVE CHANGES IN ARCHITECTURES x86 Processors Apps Processors FPGA

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Running OPAL-RT s ehs on National Instruments crio: Sub-microsecond power-electronic simulation

Running OPAL-RT s ehs on National Instruments crio: Sub-microsecond power-electronic simulation Running OPAL-RT s ehs on National Instruments crio: Sub-microsecond power-electronic simulation Ben Black Market Development Manager, Real-Time Test & Power Systems National Instruments ben.black@ni.com

More information

CMP Conference 25 th January 2012 Research - Education. Director of Business Development EMEAI

CMP Conference 25 th January 2012 Research - Education. Director of Business Development EMEAI CMP Conference 25 th January 2012 Research - Education eric.lalardie@arm.com Director of Business Development EMEAI +33 6 07 83 09 60 1 1 Recent ARM Powered Products (Q3 11) Internet Connected Screens

More information

CMP Conference 20 th January Director of Business Development EMEA

CMP Conference 20 th January Director of Business Development EMEA CMP Conference 20 th January 2011 eric.lalardie@arm.com Director of Business Development EMEA +33 6 07 83 09 60 1 1 Unparalleled Applicability ARM Cortex Advanced Processors Architectural innovation, compatibility

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

A Generation Ahead Designing Advanced Embedded Systems with Xilinx Zynq All Programmable SoCs

A Generation Ahead Designing Advanced Embedded Systems with Xilinx Zynq All Programmable SoCs A Generation Ahead Designing Advanced Embedded Systems with Xilinx Zynq All Programmable SoCs 김혁 Embedded Processor Specialist, Xilinx 1 st - Oct, 2013 Market Challenges for Embedded Solutions Integrating

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Transforming the Data Center with ARM

Transforming the Data Center with ARM WHITE PAPER Transforming the Data Center with ARM Maximizing Energy, Scalability, and Performance in the Modern Data Center IT leaders looking to modernize their computer, networking and storage systems

More information

The Internet of Things and Factory of Future

The Internet of Things and Factory of Future The Internet of Things and Factory of Future Andy Chang Sr. Manager, Academic Research & Industrial Internet of Things 2 Our Mission We equip engineers and scientists with systems that accelerate productivity,

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

Support Triangle rendering with texturing: used for bitmap rotation, transformation or scaling

Support Triangle rendering with texturing: used for bitmap rotation, transformation or scaling logibmp Bitmap 2.5D Graphics Accelerator March 12 th, 2015 Data Sheet Version: v2.2 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

WIND RIVER NETWORKING SOLUTIONS

WIND RIVER NETWORKING SOLUTIONS WIND RIVER NETWORKING SOLUTIONS TRANSFORMING THE NETWORK Businesses of all kinds are benefitting from the transformation of the networks they rely on, from LANs to WANs. Those network transformations are

More information