STC Overview & ITRI 3D-IC Program

Size: px
Start display at page:

Download "STC Overview & ITRI 3D-IC Program"

Transcription

1 STC Overview & ITRI 3D-IC Program Cheng-Wen Wu General Director SoC Technology Center Industrial Technology Research Institute Oct. 8, 2008

2 SoC Technology Center Overview

3 SoC Technology Center (STC)

4 About STC at ITRI April 27, 2000: STC was founded as a task-force unit March 1, 2003: STC became an ITRI formal unit January 1, 2006: STC became one of five focus centers Major R&D Projects PAC PAC (Parallel Architecture Core) DSP DSP Core/Multicore & Platform MIMO Mobile WiMAX Technology for for PxD PxD

5 STC Core Technologies PMD (Personal Media Device) platform technologies Wireless communications chip technologies RF, AMS (Analog and Mixed-Signal), baseband PHY and MAC chip and system design Multicore DSP architecture & chip technologies, platforms, applications, etc. Low voltage, low power SoC design, verification, and testing technologies EDA (Electronic Design Automation) flow integration Electronic System Level (ESL) design technologies Heterogeneous SoC design integration and 3D IC packaging and testing technologies

6 STC Orginzation Planning & Promotion Div. Project Mgt Sales & Promotion Planning General Director's Office GD Cheng-Wen Wu DGD Ginkou Ma DGD An-Yeu Wu Quality Management Dept. Processor and Application Div. RF, Analog and Mixed- Signal Technology Div. Wireless Broadband Technology Div. PAC DSP Platform IP/SOC WiMAX RF DVB-H Tuner Analog/Mixed Signal WiMAX BB WiMAX MAC WiMAX SOC Design Automation Technology Div. SOC BE EDA/DFT IP Total Employee: 291 (as of June 2008)

7 STC Global Network Stanford UIUC Elektrobit Waseda U. UC Santa Barbara CMU Fukuoka IST STC NCTU 交大 NTHU 清大 NSoC NCP NCKU 成大 NTU 台大 III 資策會 CIC 國家晶片中心 台灣創毅 NCU 中央 Union U 聯大 SYSU 中山 TSIA 台灣半導體產業協會 Map image is from Wikimedia Commons

8 STC R&D Focus Key IPs for SOC/PxD DSP(PAC) Multicore DSP IP and Platform Wireless Communications WiMAX (802.16e m) Analog (ADC/DAC) and RF PAC Duo FPGA Platform Taiwan Dual Core Platform Design Technology ESL; Low-Voltage/Low-Power 3D Integration; Design-for- Reliability/Dependability Android SoC Prototype Demonstration WiMAX FPGA Demonstration DVB-H RF Tuner Demonstration WiMAX IOT Lab

9 Business Models Industrial Technology R&D Funding mainly from Ministry of Economy Affairs (MOEA), National SoC (NSoC) Program Technology Transfer/License Technical Service (for Companies) New Venture (Spin-off, Spin-in of R&D Team) Incubation Service Taipei Nan-Kang Park International Cooperation

10 ITRI 3DIC Program

11 Global Technology Trend:3DIC Traditional IC: Integrate multifunctional circus onto same chip 3DIC: Stack heterogeneous IC by TSV Longer wire => signal delay Large measure of area=> Decrease of yield rate Different circus requires different process and voltage=> design difficulty increase and yield rate decrease Source:ITRI, 2008,9

12 3D IC Consortium (Ad-STAC) hosted by ITRI, supported by Government Ad-STAC Join funding, Join development IDB Target System Design SIPO assist to promote 3D IC technology & Standard Material Equipment EDA Design Fab Package Testing Ad-STAC: Advanced Stacked-System Technology and Application Source:ITRI, 2008,9

13 Vision of Ad-STAC Consortium Advanced Stacked-System Technology and Application Innovative 3D IC technology & industry value-chain Mission Co-explore 3DIC technologies, products, and markets (among members) Collaborate with global organizations Leverage government resources Facilitate sharing of resources and IPs Establish certification test facilities and standards

14 ITRI 3D IC Technology Roadmap Silicon Chip Key Technology Key Technology Substrate Wafer Thinning & Chip Strength Improving Dry Etching Type Thinning Method TSV Forming Laser drilling / DRIE Via Filling Cu Plating Micro Bumping Pitch < 20μm / Lead free Chip to chip bonding (10 layers stacking) TSV Via size<30 um, t<50 um ITRI s Roadmap Chip to wafer bonding (8 ) Laser/DRIE TSV Via size<10 um, t<20 um Wafer to wafer bonding (8-12 ) Laser/DRIE TSV CCM stacking (Laser-based TSV) CCM stacking (pixel<1.4um) Via size<1 um, t<10 um Memory/Logic stacking (Laser/DRIE TSV) ~2014 Source:ITRI, 2008,9

15 EDA Requirements for 3D Integration Design Netlist Thermal Analysis Noise Reduction EMI & X talk Reliability & ESD DfT/BIST /Diagnosis Fault Tolerance Source:ITRI, 2008,9 System-Level Concurrent Design for 3DIC Cell Library Design Rule Technology, Library, Design Database 3D Layout Database 3D Model Hard/Firm IP 3D Timing/Power/ Analyzer (w/ TSV) Thermal/Timing Driven 3D Floorplanner Thermal/Timing Driven 3D Placer (w/ TSV) Thermal/Timing Driven 3D Router (w/ TSV) 3D Layout Management & DRC/LVS Tools Long Wire in 2D SoC Short Wire in 3D IC H G D E C B A Partition into 3D G H E D C A B

16 3D-IC Promotion in Taiwan 3D IC Lab (ITRI: EOL/STC) 3D IC Industrial information (IDB) Int l Technology Platform - Standardization (IDB) Ad-STAC - (IDB:ITRI: EOL/STC) 3D IC advanced Research (DoIT/ITRI) Integrate resources, Share risks Source:SIPO, 2008,9

17 17

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality NORTH CORPORATION Development of IC Packaging Components Enabling Increasing Product Functionality I. Bump Interconnection (NMBI) Business PWB technology shift toward increased circuit layer count and

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Shin-Shiun Chen, Chun-Kai Hsu, Hsiu-Chuan Shih, and Cheng-Wen Wu Department of Electrical Engineering National Tsing Hua University

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Pre-Sales Manager April 2009

Pre-Sales Manager April 2009 WiMAX Certification Process and Update M.I. Yeudiel e Valdivia a Pre-Sales Manager April 2009 Copyright Alvarion Ltd. WiMAX Technology and WiMAX Forum 2 WiMAX Update WiMAX - Mobile Internet WiMAX Segments

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Leverage MEMS Eco-systems at Taiwan via MDL and SAT. Prof. Weileun Fang

Leverage MEMS Eco-systems at Taiwan via MDL and SAT. Prof. Weileun Fang Leverage MEMS Eco-systems at Taiwan via and SAT Prof. Weileun Fang Micro Devices Laboratory () Micro Sensors and Actuators Tech. Consortium ( SAT) Power Mech. Eng. Dept/NEMS Inst. National Tsing Hua University,

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Quilt Packaging For Power Electronics

Quilt Packaging For Power Electronics Quilt Packaging For Power Electronics 21 March 2013 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction Quilt Packaging (QP) technology Concept Examples Advantages

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

Recent Progress of IPv6 Deployment in Chinese Taipei

Recent Progress of IPv6 Deployment in Chinese Taipei 2009/TEL40/DSG/WKSP/004 Recent Progress of IPv6 Deployment in Chinese Taipei Submitted by: Chinese Taipei Workshop on IPv6: Facing the Future of Internet Cancun, Mexico 24 September 2009 Recent Progress

More information

54 th DAC EXHIBITOR PROSPECTUS

54 th DAC EXHIBITOR PROSPECTUS 54 th DAC EXHIBITOR PROSPECTUS Austin Convention Center Austin, Texas Exhibition: June 19-21, 2017 Conference: June 18-22, 2017 DAC.com sponsored by: in technical cooperation with: NETWORK ENGAGE AND EDUCATE

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

53 rd DAC EXHIBITOR PROSPECTUS

53 rd DAC EXHIBITOR PROSPECTUS 53 rd DAC EXHIBITOR PROSPECTUS Austin Convention Center Austin, Texas Exhibition: June 6-8, 2016 Conference: June 5-9, 2016 DAC.com sponsored by: in technical cooperation with: NETWORK, ENGAGE AND EDUCATE

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 OSCILLOSCOPE Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input, J10,

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

Go to ODM & OBM by Excellent Sensors

Go to ODM & OBM by Excellent Sensors Go to ODM & OBM by Excellent Sensors Dr. Amporn Poyai Thai Microelectronics Center งานสมมนา Competitive Products with Excellent Sensors ในงาน SUBCON 2010, BITEC 13 พฤษภาคม 2553 ISO9001:2000 Certified Content

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

Embedded Power Dies for System-in-Package (SiP)

Embedded Power Dies for System-in-Package (SiP) Embedded Power Dies for System-in-Package (SiP) D. Manessis, L. Boettcher, S. Karaszkiewicz, R.Patzelt, D. Schuetze, A. Podlasky, A. Ostmann Fraunhofer Institute for Reliability and Microintegration (IZM),

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013 TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013 Welcome in Grenoble Grenoble : 3D by Nature Pour modifier: Insertion / En Tête/Pied de page -Titre de

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Taiwan September 7, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

WaferBoard Rapid Prototyping

WaferBoard Rapid Prototyping WaferBoard Rapid Prototyping WaferBoard (cover not shown) 1. Select components that are packaged in ball grid array, QFP, TSOP, etc. 2. Place the packaged components FPGAs, ASICs, processors, memories,

More information

3DIC & TSV interconnects

3DIC & TSV interconnects 3DIC & TSV interconnects 2012 Business update Semicon Taiwan 2012 baron@yole.fr Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Semiconductor chip

More information

Fraunhofer Demo Day. Integrated Micro Camera Devices Dr. Michael Töpper, Dr. Andreas Ostmann Martin Wilke, Prof. Dr. Lang

Fraunhofer Demo Day. Integrated Micro Camera Devices Dr. Michael Töpper, Dr. Andreas Ostmann Martin Wilke, Prof. Dr. Lang Fraunhofer Demo Day Integrated Micro Camera Devices Dr. Michael Töpper, Dr. Andreas Ostmann Martin Wilke, Prof. Dr. Lang Modular Microelectronics Concept Traditional electronic system µcontroller capacitors

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

United for Excellence

United for Excellence United for Excellence Dear Customers, With the rising performance and shrinking feature sizes of our leading-edge production technologies, UMC's customers today enjoy unprecedented opportunities to develop

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Beyond IC Design: Challenges for the Next Generation of EDA Software

Beyond IC Design: Challenges for the Next Generation of EDA Software Beyond IC Design: Challenges for the Next Generation of EDA Software Hermann Eul Member of the Management Board Infineon Technologies AG edaforum07 December 6th, 2007 Outline! Introduction: Communication

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

A Perspective on the Role of Open-Source IP In Government Electronic Systems

A Perspective on the Role of Open-Source IP In Government Electronic Systems A Perspective on the Role of Open-Source IP In Government Electronic Systems Linton G. Salmon Program Manager DARPA/MTO RISC-V Workshop November 29, 2017 Distribution Statement A (Approved for Public Release,

More information

SEMI 大半导体产业网 MEMS Packaging Technology Trend

SEMI 大半导体产业网  MEMS Packaging Technology Trend MEMS Packaging Technology Trend Authors Name: KC Yee Company Name: ASE Group Present Date:9/9/2010 1 Overview Market Trend Packaging Technology Trend Summary 2 2 MEMS Applications Across 4C Automotive

More information

Stockton Aviation Research & Technology Park

Stockton Aviation Research & Technology Park Stockton Aviation Research & Technology Park Overview Joseph M. Sheairs, Sr. Executive Director Introduction Industry, Academia, and Government collaboration dedicated to the advancement of aviation sciences,

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

IP CORE Design 矽智產設計. C. W. Jen 任建葳. IP CORE Design 矽智產設計 C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Course Contents Introduction to SoC and IP ARM processor core and instruction sets VCI interface, on-chip bus, and platform-based design IP

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation Driving Semiconductor Industry Optimization From U.S.-Taiwan-China Relationships Walden C. Rhines CHAIRMAN & CEO Mentor Graphics Corporation U.S.-Taiwan-China Semiconductor Optimization Growing the total

More information

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group SiP Catalyst for Innovation SWDFT Conference Calvin Cheung ASE Group May 31, 2007 Outline Consumer Electronic Market > Consumer Electronics Market Trends > SiP Drives Innovation > SiP Category SiP - Challenges

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

QUALCOMM: Company Overview and Opportunities for Students and Collaboration. Junyi Li Vice President of Technology QUALCOMM

QUALCOMM: Company Overview and Opportunities for Students and Collaboration. Junyi Li Vice President of Technology QUALCOMM QUALCOMM: Company Overview and Opportunities for Students and Collaboration Junyi Li Vice President of Technology QUALCOMM April 14, 2008 Outline 1. General background on Qualcomm 2. Research and Development

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Update: Lambda project

Update: Lambda project Update: Lambda project Sabine Lange Detector Group DESY meeting, May 29-31, 2012 s1 Lambda project About Lambda: 2 x 6 3 chips (~28 x 85mm) high frame rate (8 read out lines, 2kHz readout) 10 gigabit Ethernet

More information

Real Time MIMO and Cooperative MIMO Systems Testbed for latest Wireless Broadband Systems. B. Project Summary:

Real Time MIMO and Cooperative MIMO Systems Testbed for latest Wireless Broadband Systems. B. Project Summary: Real Time MIMO and Cooperative MIMO Systems Testbed for latest Wireless Broadband Systems B. Project Summary: 1. Project Objectives: Research on the MIMO detection algorithms and Cooperative-MIMO (C- MIMO)

More information

The nextpnr FOSS FPGA place-and-route tool. Clifford Wolf Symbiotic EDA

The nextpnr FOSS FPGA place-and-route tool. Clifford Wolf Symbiotic EDA The nextpnr FOSS FPGA place-and-route tool Clifford Wolf Symbiotic EDA FOSS FPGA PnR VPR (Versatile Place-and-Route) Over 20 years old (1997). Timing driven. Portable. Focuses more on architecture exploration

More information