Three Dimensional Integration

Size: px
Start display at page:

Download "Three Dimensional Integration"

Transcription

1 Three Dimensional Integration Paul Franzon North Carolina State University Raleigh, NC

2 Outline 3DIC Motivation Performance and Memory Bandwidth Power Efficiency Power per unit of cost Miniaturization 3DIC Manufacturing Bulk TSV formation Wafer and chip assembly flows Interposers Relative costs 3DIC Design Power and power efficiency Memories and memory interfaces Electrical modeling & design ESD protection The potential for logic partitioning Heterogeneous Computing Design Support Thermal Design Test issues Potential Test Flows Conclusions and Future perspectives 2

3 Outline 3DIC Motivation Performance and Memory Bandwidth Power Efficiency Power per unit of cost Miniaturization 3DIC Manufacturing Bulk TSV formation Wafer and chip assembly flows Interposers Relative costs 3DIC Design Power and power efficiency Memories and memory interfaces Electrical modeling & design ESD protection The potential for logic partitioning Heterogeneous Computing Design Support Thermal Design Test issues Potential Test Flows Conclusions and Future perspectives 3

4 Memory Bandwidth Most compute systems have rapidly growing memory bandwidth demands Mulitcore Mobile: 50 GBps and more Graphics, Networking: Can easilly benefit from 1 TBps Networking needs high capacity as well Data-driven workloads: High cross-system bandwidth Interposers and 3DIC can provide high memory bandwidth at better power efficiencies than conventional packaging 4

5 Off-chip vs. ON-chip Scaling Trends Source: Poulton, NVidea 5

6 Dark Silicon Performance per unit power Systems increasingly limited by power consumption, not number of transistors Dark Silicon : Most of the chip will be OFF to meet thermal limits 6

7 Server Power Costs 2% of worlds electrical power consumption, predicted to be 30% of US power by 2030 Cost of power growing percentage of cost of ownership Source: IBM 7

8 Compute Cost Scaling beyond 7 nm CMOS CMOS scaling assumed to end around 2020 at around the 7 nm node. We ve achieved exponential gains before without silicon scaling. We can again. 8

9 Cost reduction 3DIC processing adds 15%+ to wafer processing cost Silicon interposers add 25%+ to cost of silicon before packaging Thus seek potential cost reductions Heterogeneous integration Saving on high pin count packaging Reduced cooling overhead Yielded silicon cost savings Yield decreases exponentially with chip area 22 x 22 mm chip : Yield = 44%; Say $62 / part 11 x 22 mm chip: Yield = 64%; Say $42 per pair of parts As long as cost of 3D or 2.5D integration is less than $20, then saved money due to increased yield 9

10 Heterogeneous Integration Digital logic in advanced node (e.g. 22 nm) on top of analog circuits in legacy node (e.g. 45 nm) Optimizes cost per transistor since analog transistors scale poorly Reduces design cost Mixed technologies E.g. InP or GaN on top of silicon Ultra high performance or high power Low power (low leakage) CMOS on top of high performance CMOS Silicon Photonics; MEMS Image Sensors Stack image collection layer on top of information processing layer Lower cost; Specialized sensors; More in-situ computation Xilinx: 28 nm FPGA slices nm SERDES (IO) 10

11 3D Miniaturization Cell phone cameras Height reduction through TSVs Miniature Sensors mm 3 scale Implantable cm 3 scale Food Safety & Agriculture TSVs provide a lot more interconenct than wire bonds MEMS RF harvester/sensor + Antenna Low-power mixed signal ASIC Low power Nonvolatile memory Secondary battery/ultracapacitor 11

12 Outline 3DIC Motivation Performance and Memory Bandwidth Power Efficiency Power per unit of cost Miniaturization 3DIC Manufacturing Bulk TSV formation Wafer and chip assembly flows Interposers Relative costs 3DIC Design Power and power efficiency Memories and memory interfaces Electrical modeling & design ESD protection The potential for logic partitioning Heterogeneous Computing Design Support Thermal Design Test issues Potential Test Flows Conclusions and Future perspectives 12

13 3DIC with TSVs Technology set: Underfill Wafer Thinning 13

14 Commercial TSV Options Tezzaron Down to 1.2 µm features, Tungsten IBM, Samsung, Elpida IMEC 5-10 µm features, Copper CETI/LEA (ST-Micro and others) 5-10 µm features Cu TSMC (& other) interposer 10 µm features, 100 µm pitch Copper Tezzaron IMEC 30µm AllVia 14

15 Transistor/TSV Integration Options Via-First/ Via-Middle Face-to-Face Face-to-Back Back-to-Back Via-Last 15

16 Attachment technologies Solder micobumps Today typically 40 µm pitch; Tomorrow possibly 5 µm high temperature (> 400 Room temperature (Ziptronix DBI) Typical 2 5 µm pitch Potential for 1 µm pitch IBM 16

17 Chip to Wafer (C2W) vs. Wafer to Wafer (W2W) Wafer to Wafer (W2W) Wafer 1 Mount Thin Wafer 2 Wafer 3 Mount Thin Bump Advantages Disadvantages Simpler Identical sized chips Lower Cost Higher via Density Better Alignment Thinner Chips Accumulated Yield Loss 1 tier 2 tiers 3 tiers 4 tiers 90% 81% 73% 65% 17

18 Chip to Wafer (C2W) vs. Wafer to Wafer (W2W) ONE chip to wafer (or wafer stack) (face mounted) Test Wafer 1 Wafer 2 Test Dice Advantages Known Good Die no accumulated yield loss Different die sizes Disadvantages Higher cost serial pick and place Worse alignment Wafer die size largest Solder bump requires coarse TSVs in one layer Limited to stack of two 18

19 Chip to Wafer (C2W) vs. Wafer to Wafer (W2W) Multiple chips to wafer (or wafer stack) Temporary Carrier Wafer 1 Mount Thin Test Dice Attach/Demount Wafer 2 Wafer 3 Test Advantages Known Good Die in multiple chips Thin TSV Little area loss to connections to solder bumps Disadvantages Highest cost temporary carrier Still in research Limited to stack of two 19

20 Interposers and RDLs Redistribution layer = thick metal (layers) added to wafers to customize interface to next chip in 3D stack Interposer = Silicon or other carrier used to mount chips WITHIN package Examples: 1-2 µm thick metal µm Modified Legacy Process Modified 65 nm or 90 nm Back End of Line Process 20

21 Relative Manufacturing Costs ASIC Chip DRAM Chip DRAM KGD Test/chip ASIC KGD Test/chip Assembled stack test W2W 3D steps / chip C2W 3D steps / chip Interposer / chip stack 2,000 pin package ($10) 21

22 Outline 3DIC Motivation Performance and Memory Bandwidth Power Efficiency Power per unit of cost Miniaturization 3DIC Manufacturing Bulk TSV formation Wafer and chip assembly flows Interposers Relative costs 3DIC Design Power and power efficiency Memories and memory interfaces Electrical modeling & design ESD protection The potential for logic partitioning Heterogeneous Computing Design Support Thermal Design Test issues Potential Test Flows Conclusions and Future perspectives 22

23 Energy per Operation DDR3 4.8 nj/word Optimized DRAM core 128 pj/word MIPS 64 core 400 pj/cycle 11 nm 0.4 V core 200 pj/op 45 nm 0.8 V FPU 38 pj/op SERDES I/O 1.9 nj/word Low swing I/O 128 pj/word LPDDR2 512 pj/word 1 cm / high-loss interposer 300 pj/word 0.4 V / low-loss interposer 45 pj/word On-chip/mm 7 pj/word TSV I/O (ESD) 7 pj/word TSV I/O (secondary ESD) 2 pj/word (64 bit words) Various Sources 23

24 Energy/Operation 32 bit ops Energy / Operation (pj) PCB 2MB L2 Cache 3 mm on-chip Interposer Multiply Accumu 8kB L2 Cache TSV 50 Register File Node (nm) 24

25 Energy/Operation Ratio TSV 3 mm on-chip Interposer IO PCB IO 2MB L2 8kB cache RF FPU-MAC 1.15x 3.6x pj/32-bit op 25

26 Detailed Comparison Simulation Study pj/bit 26

27 Memory on Logic Conventional TSV Enabled Less Overhead Flexible bank access Nx32 Less interface power N x 128 wide I/O Flexible architecture nvidea or Short on-chip wires or Processor Mobile 27 27

28 Wide IO SOC Standard aimed at mainly at Mobile First standard was under-specified limiting interoperability ST, STM, LETI, Cadence ST-Ericsson 28

29 HBM and HMC 128 GBps ST-Ericsson 29

30 Tezzaron Dis-integrated RAM Mixed technology concept DRAM arrays in low-leakage DRAM technology (at node N) Peripheral circuits in highperformance logic process (at node N-1) Bit and word lines fed vertically at array edge No repair or test prior to assembly BIST and CAM based remapping in logic layer Claimed results Reduced overall cost/bit Two metals only in DRAM tiers Effective ~ 60-70% fill factor (?) Faster timing on interfaces, down to 3 ns RAS-RAS cycle Configuration Density Burst access in page/port 8 x 128-bit ports 90 nm DRAM on 130 nm logic 1 Gb/layer of DRAM 1 Gword/s (128 Gbps) 30

31 IMEC TSV Parasitics Plas et.al., ISSCC ff On-chip interconnect: ~ ff/mm 31

32 ElectroStatic Discharge (ESD) Protection There are NO published definitive studies as to what level of ESD protection is needed R Current working assumptions 3D integration through interposer Need full ESD protection (~ 1 pf) Can distribute amongst tiers 3D integration through stacking in separate fabs Need machine model ESD protection only (~250 ff) 3D integration within fab Fab can specify (Tezzaron: Antenna diode) 32

33 Logic Partitioning Approaches 1. Modular Partitioning 30% improvement in power/performance 2. Cell level partitioning 18% - 35% improvement in power/performance 3. Heterogeneous Integration 30% improvement in power/performance 4. Extreme 3DIC Stacking > 4 chips to effect HP CPU Low Power CPU Specialized RAM General RAM Interconnect Modular Bus 33

34 Modular Partitioning 3D FFT Engine 60% energy per op savings in memory 9% energy per op savings in logic 25% more silicon as 2DIC Thor Thorolfsson 34

35 Tezzaron 130 nm 3D SAR DSP Complete Synthetic Aperture Radar processor 10.3 mw/gflops 2 layer 3D logic All Flip-flops on bottom partition Removes need for 3D clock router Logic only Logic, clocks, flip-flops HMETIS partitioning used to drive 3D placement Thor Thorolfsson 35

36 Cell level partitioning Relying on wire-length reduction alone is not enough 2D Design 0.13 µm Cell Placement split across 6.6 µm face-to-face bump structure 36

37 Fast thread transfer Two heterogeneous cores Different clocks Nominally different process nodes PISA (MIPS-like) instruction set 3D-enabled bus provides fast thread transfer (FTT) <50 CPU cycles to move process from one core to another, or to swap process Varies as controlled by a third, faster clock Switch L1 cache connection at same time (CTT) Comparison with Running Data in 2-issue CPU alone: Energy / op Performance 1-issue CPU alone 28% savings 39% reduction Two CPU stick with FTT and CTT 27% savings 7% reduction 2-issue CPU 1-issue CPU c/- Brandown Dwiel, Eric Rotenberg 37

38 Specialized RAM as L2/L3 cache Specialized Tezzaron DRAM as combined L2/L3 Cache Capable of 3 ns RAS-RAS cycle Specialized RAM Option Performance Power (W) 4MB SRAM cache 1x 2.4 W 240 MB DRAM 1.89 x 0.53 W Brings 16 core system power down by 15% 38

39 Plug and Play Interfaces Self-configuring, self-testing, resilient, low-overhead, lowpower interfaces that can communicate between different clock-domains Face to Face Face to Back Cache/Memory... Processor Distributed Request Module #A Local Initiator #A ENB Local Target #X Distributed Request Module #B Distributed Request Module #C ENB ENB ENB ENB Tri-state Buffer ENB ENB ENB TSV Request channel #0-3 TSV Data channel #0-3 39

40 Outline 3DIC Motivation Performance and Memory Bandwidth Power Efficiency Power per unit of cost Miniaturization 3DIC Manufacturing Bulk TSV formation Wafer and chip assembly flows Interposers Relative costs 3DIC Design Power and power efficiency Memories and memory interfaces Electrical modeling & design ESD protection The potential for logic partitioning Heterogeneous Computing Design Support Thermal Design Test issues Potential Test Flows Conclusions and Future perspectives 40

41 CAD Thermal Pathfinding Early design and technology investigation NCSU Pathfinding flow: ESL model DOE SystemC Power Scoreboard Static & Dynamic Thermal Visualization & Model Fitting Physical & Technology Parameters Offmodule Power Delivery Partitioning 41

42 Thermal Mitigation: DVFS As L2 channel temperature on Tier B, reaches 385K, downscale the voltage and frequency As channel temperature reaches 370K, upscale the voltage and frequency Further decrease in temperature is due to changing (decrease) power profile Two (V,F) point: (1.1V, 1.66GHz), (0.9V, 1.36 GHz) 42

43 Thermal Management With conventional cooling technologies: Leverage low-power potential of 3DIC Use of thermal vias and power/ground system Dynamic in-situ thermal management With liquid cooling Potential reduction in cost of cooling, together with increase in performance Advanced liquid cooling demonstrated up to 3.5 kw/cm 2 43

44 Test Issues Want to minimize total cost of test and test-escape Extremes: Stack and Pray = accumulated yield loss 1 chip 2 chips 3 chips 4 chips 90% 81% 73% 64% 100% Test before assembly and after each assembly event = high test cost Do we test through the TSV/microbump interface or around it? Testing a 10,000 microbump array is difficult and potentially very expensive 44

45 Basic Test Flow Assumptions: TSV/interposer yield high enough not to need redundancy Might or might not test partial stacks Memories SOCs Wafer Test Burnin Repair Wafer Test to (close to) Known Good Die Standard Sort & Stack or Stack + test Stack + test 3D Integration Test & possibly Memory BIST Packaging and Final Test Complete stack 45

46 Concluding Remarks As off-chip bandwidth requirements and hunger for low power expands: 3D packaging Interposers 3DIC BUT Interposers are expensive and potential for cost reduction is modest 3DIC is expensive but there is potential for process learning AND great benefit harvesting Especially once D2W is solved Thermal and power delivery will remain challenges and bottlenecks with technology advances Test and resiliency are potential interesting research vectors 46

47 Acknowledgements Faculty: Rhett Davis, Michael B. Steer, Eric Rotenberg, James Tuck, Huiyang Zhou Professionals: Steven Lipa, Eric Wyers Current Students: Joonmu Hu, Brandon Dwiel, Zhou Wang, Marcus Tishibanqu, Ellliott Forbes, Randy Wilkiansano, Joshua Ledford, Jong Beom Park, Past Students: Hua Hao, Samson Melamed, Peter Gadfort, Akalu Lentiro, Shivam Priyadarshi, Christopher Mineo, Julie Oh, Won Ha Choi, Ambirish Sule, Gary Charles, Thor Thorolfsson, Department of Electrical and Computer Engineering NC State University

48 Removed 48

49 Bandwidth Density High off-chip bandwidth density required to close off-chip performance gap Determined by technology; crosstalk and signaling rate limits 1 mm x BW/wire Upper limits Technology Approximate limit High density laminate ~ 50 Gbps/mm Silicon interposer ~ 150 Gbps/mm 3DIC - microbumps > 10 Tbps/mm 2 3DIC - TSV > 1 Tbps/mm 2 49

50 Yield Improvement Example: Xilinx multi-chip Virtex 7 50

51 Simplified Process Flow 1. Etch TSV holes in substrate Max. aspect ratio 10:1 hole depth < 10x hole radius 2. Passivate side walls to isolate from bulk 51

52 Simplified Process Flow 3. Fill TSV with metal Copper plating, or Tungsten filling 4. Often the wafer is then attached to a carrier or another wafer before thinning 5. Back side grinding and etching to expose bottom of metal filled holes 6. Formation of backside microbumps Wafer Thinning 52

53 Simplified Process Flow 7. Wafer bonding and (sometimes) underfill distribution Underfill TSV enabled 3D stack 53

54 Substrate Alternatives Side-by-side mounting Silicon Interposer or thin film Multi-chip Module RAM ASIC ASIC RAM ASIC No TSVs TSV Enabled Top-to-bottom mounting Face-up-Silicon Interposer ASIC Memory Conventional Interposer e.g. High Density Laminate ASIC Memory TSV Enabled Silicon Interposer 54

55 Glass Interposers Leveraging large panel (TV) processing for cost reduction Still in development Coarser TSV pitch and line widths than Silicon 55

56 Detail Energy / Operation (pj) FPU-MAC RF 8kB cache TSV Node (nm) 56

57 Design Status 130 nm 2D Design in Fab 65 nm 3D design for May tapeout 57

58 Design and Verification CAD Flows All major vendors have extensions to the current flows for 3D integration purposes Electrical parasitics, including TSVs Full stack verification Full stack test insertion (in part) TSV/package stress management (coming) Likely approach: Post assembly transistor SPICE models Low/Zero commercial availability Pathfinding tools Tools to support aggressive 3D integration 58

59 Heterogeneous Integration - Computing Illustrates value of leveraging of 3D state of the art 10% - 30% logic { power savings { 8x power savings in L2-L3 { 2x interconnect power { savings High Performance CPU Low Power CPU Specialized RAM Specialized Interconnect 59

60 Extreme 3D Aggressive exploitation of 3DIC and high density photonics High density, vertical connections Ability to build systems that continue high density beyond a small range of chips High density, multi-wavelength low-power photonics connectors 60

3D Technologies For Low Power Integrated Circuits

3D Technologies For Low Power Integrated Circuits 3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization

More information

Paul Franzon. Department of Electrical and Computer Engineering

Paul Franzon. Department of Electrical and Computer Engineering Architectures for Extremely Scaled Memories Paul Franzon Department of Electrical and Computer Engineering paulf@ncsu.edu 919.515.7351 High Level Overview Challenges for Memories Bandwidth Power consumption

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor Physical Design of a -Stacked Heterogeneous Multi-Core Processor Randy Widialaksono, Rangeen Basu Roy Chowdhury, Zhenqian Zhang, Joshua Schabel, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul Franzon

More information

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor Taeho Kgil, Shaun D Souza, Ali Saidi, Nathan Binkert, Ronald Dreslinski, Steve Reinhardt, Krisztian Flautner,

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems. Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Stacking Untested Wafers to Improve Yield. The 3D Enigma Stacking Untested Wafers to Improve Yield or 3D: Where the Timid Go to Die The 3D Enigma The Promise High Performance Low Power Improved Density More than Moore or at least as much as Moore The Reality

More information

Memory Rich Application Exploration for 3D Integration

Memory Rich Application Exploration for 3D Integration Memory Rich Application Exploration for 3D Integration Paul Franzon, William Rhett Davis, Michael B. Steer, Hua Hao, Steven Lipa, Sonali Luniya, Christopher Mineo, Julie Oh, Ambirish Sule, Thor Thorolfsson

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

SRC 3D Summit. Bob Patti, CTO

SRC 3D Summit. Bob Patti, CTO SRC 3D Summit Bob Patti, CTO rpatti@tezzaron.com 1 Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm 28nm 22nm 16nm 2 How Real is 3D??? 560μ Samsung 16Gb

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Near-Threshold Computing: Reclaiming Moore s Law

Near-Threshold Computing: Reclaiming Moore s Law 1 Near-Threshold Computing: Reclaiming Moore s Law Dr. Ronald G. Dreslinski Research Fellow Ann Arbor 1 1 Motivation 1000000 Transistors (100,000's) 100000 10000 Power (W) Performance (GOPS) Efficiency (GOPS/W)

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

3D SoC and Heterogeneous Integrations

3D SoC and Heterogeneous Integrations 3D SoC and Heterogeneous Integrations Content Introduction ST positioning Why 3D-Integration? CMOS Imager Sensor: the TSV success story! 3D SOC technology & applications Via Middle FE integrations Back-side

More information

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping Yuan-Ping Tseng/ An-Hong Liu TD center ChipMOS Technologies Inc. June 5, 2001 1

More information

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO 3D Integration: New Opportunities for Speed, Power and Performance Robert Patti, CTO rpatti@tezzaron.com 1 Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Future Memories. Jim Handy OBJECTIVE ANALYSIS Future Memories Jim Handy OBJECTIVE ANALYSIS Hitting a Brick Wall OBJECTIVE ANALYSIS www.objective-analysis.com Panelists Michael Miller VP Technology, Innovation & Systems Applications MoSys Christophe

More information

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT 2010 Invited Speaker ARCHIVE 2010 RISING TO THE 3D TSV TEST CHALLENGE: WILL YOU BE READY? by Françoise von Trapp Editorial Director 3D InCites 3D ABSTRACT integration is not a novel concept. Veterans in

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory A Low Power 720p Motion Estimation Processor with 3D Stacked Memory Shuping Zhang, Jinjia Zhou, Dajiang Zhou and Satoshi Goto Graduate School of Information, Production and Systems, Waseda University 2-7

More information

3DIC & TSV interconnects

3DIC & TSV interconnects 3DIC & TSV interconnects 2012 Business update Semicon Taiwan 2012 baron@yole.fr Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Semiconductor chip

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs Shin-Shiun Chen, Chun-Kai Hsu, Hsiu-Chuan Shih, and Cheng-Wen Wu Department of Electrical Engineering National Tsing Hua University

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

The FPGA: An Engine for Innovation in Silicon and Packaging Technology The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System 3D Hetero-Integration Technology for Future Automotive Smart Vehicle System Kangwook Lee, Ph.D Professor, NICHe, Tohoku University Deputy Director, Global INTegration Initiative (GINTI) Kangwook Lee, Tohoku

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Outline Key technologies for future CMOS imagers Bottlenecks for high speed imaging Our proposal Take home message Oct 12, 2017 Photon-to-Photon

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler OVERCOMING THE MEMORY WALL FINAL REPORT By Jennifer Inouye Paul Molloy Matt Wisler ECE/CS 570 OREGON STATE UNIVERSITY Winter 2012 Contents 1. Introduction... 3 2. Background... 5 3. 3D Stacked Memory...

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Shreyas G. Singapura, Anand Panangadan and Viktor K. Prasanna University of Southern California, Los Angeles CA 90089, USA, {singapur,

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs Philippe FLATRESSE Technology R&D Central CAD & Design Solutions STMicroelectronics International Symposium on

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory 5.1 Semiconductor Main Memory 5.2 Error Correction 5.3 Advanced DRAM Organization 5.1 Semiconductor Main Memory

More information

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection Hiroyuki Usui, Jun Tanabe, Toru Sano, Hui Xu, and Takashi Miyamori Toshiba Corporation, Kawasaki, Japan Copyright 2013,

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information