10EC33: DIGITAL ELECTRONICS QUESTION BANK

Size: px
Start display at page:

Download "10EC33: DIGITAL ELECTRONICS QUESTION BANK"

Transcription

1 10EC33: DIGITAL ELECTRONICS Faculty: Dr.Bajarangbali E Examination QuestionS QUESTION BANK 1. Discuss canonical & standard forms of Boolean functions with an example. 2. Convert the following Boolean function F=xy+x 1 z to product of Maxterm. 3. Bring out the difference between Canonical & Standard forms. 4. Minimize the following using Kmaps: i) SOP expression given by f(a,b,c,d) = Σ m(0,1,2,3,5,9,14,15) + Σ Φ(4,8,11,12) ii) POS expression given by f(a,b,c,d) = M(0,1,2,5,8,9,10) Implement the minimal expressions thus obtained using basic gates (both normal and inverted inputs can be used) 5. List out the difference between combinational and sequential logic circuits 6. Convert the following to other canonical form. i) F(x,y,z) = (1,3,7) ii) F(A,B,C,D)= (0,2,6,11,13,14) iii) F(x,y,z) = (0,1,2,3,4,6,12) 7. Expand the following function into canonical SOP form f(x1,x2,x3) = x 1 x 3 + x 2 x 3 + x 1 x 2 x 3 8. Expand the following function into canonical POS form F(W,X,Q) =(Q+W 1 ) (X+Q 1 ) (W+X+Q) (W 1 +X 1 ) 9. Mention different methods of simplifying Boolean functions. 10. Place the following equations into the proper canonical form a) P = f(a,b,c) = ab 1 +ac 1 +bc b) G = f(w,x,y,z) = wx 1 + yz 1 c) T = f(a,b,c) = (a+b 1 )(b 1 +c 1 ) 11. Express the following SOP equations in a minterm list (Short hand decimal notation) form: a) H = f(a,b,c) = A 1 BC + A 1 B 1 C + ABC b) G = f(w,x,y,z) = WXYZ 1 + WX 1 YZ 1 + W 1 XYZ 1 + W 1 X 1 YZ Express the following POS equations in a maxterm list (decimal notation) form:

2 a) T = f(a,b,c) = (a+b 1 +c) (a+b 1 +c 1 ) (a 1 +b 1 +c) 13. Simplify the following a) J = f(x,y,z) = (0,2,3,4,5,7) b) K = f(w,x,y,z) = (0,1,4,5,9,11,13,15) c) R = f(v,w,x,y,z) = (5,7,13,15,21,23,29,31) 14. Draw a model representing combinational circuits. Label the input and output variables. Write a general expression showing the input and output relationship. 15. How does a truth table express a combinational circuit. 16. Convert the following equations into their requested canonical forms: a) (SOP) X = a 1 b+bc b) (POS) P = (w 1 +x)(y+z 1 ) c) (SOP) T = p(q 1 +s) d) (SOP) R = L+M 1 (N 1 M+M 1 L) e) (POS) U = r 1 +s(t+r)+s 1 t E. a) Two motors M2 and M1 are controlled by three sensors S3, S2 and S1. One motor M2 is to run any time all three sensors are on. The other motor is to run whenever sensors S2 or S1 but not both are on and S3 if off. For all sensor combinations where M1 is on, M2 is to be off except when all the three sensors are off and then both motors must remain off. Construct the truth and write the Boolean output equation. (6) (Jan.08) b) Simplify using Karnaugh map. Write the Boolean equation and realize using NAND gates. D = f(w,x,y,z) = (0,2,4,6,8)+ d(10,11,12,13,14,15). (6) c) Simplify P = f(a,b,c) = (0,1,4,5,7) using two variable Karnaugh map. Write the Boolean equation and realize using logic gates. (8) d) Simplify using Karnaugh map L = f(a,b,c,d) = (2,3,4,6,7,10,11,12) (6) 17. Discuss K-map & Quine McCluskey methods for simplification of Boolean expressions. 18. Discuss K-map & Quine McCluskey methods. 19. Write advantages of K-map over Quine McCluskey method. 20. Define term Don t care condition. 21. Explain K-map representation in detail & discuss the merits & demerits. 22. Explain the tabulation procedure in detail & discuss merits & demerits. 23. Compare K-map & Quine-Mcclusky methods for simplification of Boolean Expression.

3 24. Obtain the simplified expression in sum of products for the following: F(A,B,C,D,E) = (0,1,4,5,16,17,21,25,29) 25. Obtain simplified expression in SOP & POS form i) x1z1+y1z1+yz1+xyz ii) w1yz1+vw1z1+vw1x+v1wz+v1w1y1z1 and draw gate implementation using AND & OR gates 26. Given the function T(w,x,y,z)=Σ(1,3,4,5,7,8,9,11,14,15).Use K map to determine the set of all prime implicants. Indicate essential prime implicants, find three distinct mininmal expressions for T 27. Using tabulation method, determine the set of all prime implicants for the function f(w,x,y,z) = Σ(0,1,2,5,7,8,9,10,13,15) and hence obtain the minimal form of given function, employing decimal notation. 28. Compare K-map & Quine-Mcclusky methods for simplification of Boolean Expression. Give their merits and demerits 29. Using K-map simplify following Boolean expression & give implementation of same using i) NAND gates only ii) AND,OR & Invert gates for F(A,B,C,D) = (2,4,8,16,31)+ D (0,3,9,12,15,18) 30. Using K-map obtain Simplified expression in SOP & POS form of function F(A,B,C,D)=(A 1 +B 1 +C 1 +D 1 )(A 1 +B 1 +C+D 1 )(A+B 1 +C+D 1 )(A+B+C+D 1 )(A+B+C+D) 31. Simplify Boolean function using don t care condition for SOP & POS F=w1(x1y+x1y1+xyz)+x1z1(Y+w), d=w1x(y1z+yz1)+wyz F=ACE+A 1 CD 1 E 1 +A 1 C 1 DE, d= DE 1 +A 1 D 1 E+AD 1 E Simplify the following Boolean function using K-map method i) xy+x 1 y 1 z 1 +x 1 yz 1 ii) x 1 yz+xy 1 z+xyz+xyz 1 iii)f=a 1 C+A 1 B+AB 1 C+BC iv)f (w,x,y,z)= (0,1,2,4,5,6,8,9,12,13,14) 33. Determine set of Prime implicants for function F(w,x,y,z)= (0,1,2,5,7,8,9,10,13,15) 34. Minimize the following function with don t care terms using Q.M. method i) f(a,b,c,d)= m(5,7,11,12,27,29)+d(14,20,21,22,23) ii) f(a,b,c,d)= m(1,4,6,9,14,17,22,27,28,)+d(12,15,20,30,31) 35. Determine the set of Prime implicants for function F(w,x,y,z)= (0,1,2,5,7,8,9,10,13,15) 36. Using Quine-McCluskey obtain the set of Prime implicants for function

4 F(a,b,c,d,e)= (4,12,13,14,16,19,22,24,25,26,29,30)+ d (1,3,5,20,27) 37. Identify the prime and essential prime implicants for the following expressions a) S = f(a,b,c,d) = (1,5,7,8,9,10,11,13,15) b) T = f(a,b,c,d,e) = (0,4,8,9,10,11,12,13,14,15,16,20,24,28) 38. Simplify the SOP equations given below. Let the MEV term be the least significant variable in each expression. a) Construct the MEV truth table b) Create the MEV K-map c) Write the simplified equations d) Is the trial expression optimal (compare it to a regular K-map simplified expression) (i) V = f(a,b,c,d) = (2,3,4,5,13,15) + d(8,9,10,11) (ii) Y = f(u,v,w,x) = (1,5,7,9,13,15) + d(8,10,11,14) (iii) P = f(r,s,t,u) = (0,2,4,8,10,14) + d(5,6,7,12) (iv) F = f(u,v,w,x,y) = (0,2,8,10,16,18,24,26) E. a) Simplify using Quine Mc Clusky tabulation algorithm- (14) V = f(a,b,c,d) = (2,3,4,5,13,15)+ d(8,9,10,11) 39. Define combinational circuit with block diagram, Explain the elements of combinational circuit. 40. Discuss the full adder with an example. 41. Discuss the Half adder with an example. 42. Given 3x8 decoder, show the construction of 4x16 decoder. 43. Explain grouping and simplification process in K maps using the 3 variable and 4 variable maps. 44. Give the main steps for designing combinational circuits. 45. What is decoder? what are its advantages? Design a decimal decoder which converts information from BCD to DECIMAL. 46. Mention the difference between full and half adder. 47. Design BCD to 7 segment decoder using NAND gates only. 48. Mention the application of decoder. 49. Using decoder implement the following Logic functions. a) Active High decoder with OR gate. b) Active Low decoder with NAND gate. c) Active High decoder with NOR gate.

5 d) Active Low decoder with AND gate. 50. Design 2-4 decoder with enable input E. 51. Design 3-8 decoder. 52. Design 4-16 decoder. 53. Design a combinational circuit that will multiply two two-bit binary values. 54. Design a combinational circuit that will accept a 2421 BCD code and drive a TIL- 312 seven segment display. 55. Realize the following Boolean function using the least number of ICs S= f(a,b,c,d,e) = (8,9,10,11,13,15,17,19,21,23,24,25,26,27,29,31) 56. Design a combinational logic circuit that will convert a straight BCD digit to an excess-3 BCD digit. a) Construct the truth table. b) Write the minterm list equation for each output function. c) Simplify each output function, and write the reduced logic equations. d) Draw the resulting logic diagram. 57. Draw the logic diagram for a 2-to-4 logic decoder with an active low encode and active high data outputs. Construct a truth table and identify the data inputs, the enable input, and the outputs. Describe the circuit s function. What does it do? Draw the logic symbol for the decoder. 58. Draw the logic symbol for a 3-to-8 logic decoder that has active low data inputs, an active high enable, and active low data outputs. Use such a decoder to realize the Boolean function X = f(a,b,c) = (0,3,5,6). 59. Realize the following functions with a decoder. Determine the size the decoder necessary for each Boolean function. a) A = f(x,y) = (0,3); B = f(x,y) = (1) b) X= f(a,b,c) = (1,3,5,7) c) X = f(a,b,c) = (2,5); Y = f(a,b,c) = (3,5,6) d) P = f(w,x,y,z) = (0,5,11) 60. Sketch the logic symbol for a 10-line to BCD encoder. Show how 10 events can be encoded into a four bit data bus. 61. Define the following: a) ALU b) Array multiplier c) BCD adder d) Comparator

6 e) Decoder f) Encoder g) Full adder and half adder h) Look-ahead carry i) Multiplexer. E. a) Design a combinational circuit that will multiply two two-bit binary values. (8) b) Design a 4 to 16 decoder using two 3 to 8 decoder (74LS138). (6) c) Design a keypad interface to a digital system using ten line BCD encoder (74LS147) (6) 62. Implement the full subtractor with two half adder and OR gate. 63. Using only half adder, draw a circuit that will add 3-bits x i y i z i together,producing carry & sum bits S i,c I as shown in following table. Xi yi zi ci si Give the truth table for half adder and full adder, develop the simplified expression for sum & carry of a full adder & realize the full adder using only half adder. 65. Design a full adder & full subtractor,give their truth table,simplified expression and circuit Diagrams. 66. Define full adder & half adder, explain the working of it with an example. 67. Design 2-bit adder circuit using two level NAND gate circuit for each output. The inputs are 2- bit binary number s a1a0 & b1b0,the output s are the 2-bit binary sum s1s0 & carry output c1 only. 68. Implement in FULL ADDER circuit using a 3:8 decoder and two OR gates. 69. Using truth table and k map simplification show implementation of a FULL ADDER

7 70. Implement the Boolean function: F(A,B,C,D)= m(0,1,2,3,4,8,9,14,15) using an 8:1 MUX. 71. Sketch a diagram illustrating how a digital multiplexer is like a channel selector switch on an old TV. Explain in your own word what digital multiplexing means. 72. Sketch the logic symbol for a 8-to-1 digital multiplexer. Identify the data, select, and strobe inputs as well as the data outputs. Show how several data sources can be connected to a common data destination using a multiplexer. 73. Realize the following Boolean functions using the appropriate multiplexer, whose data inputs are connected directly to logical 1 and 0 levels. a) x = f(a,b,c) = (0,1,3,5,7) b) y = f(a,b,c,d) = (1,4,5,7,8,12,13,15) 74. Design a full adder a) Construct the truth table, and simplify the output equations. b) Draw the resulting logic diagram. c) Realize the adder using a decoder. d) Which design takes fewer ICs. 75. Design a full subtractor. a) Construct the truth table, and simplify the output equations. b) Draw the resulting logic diagram. c) Realize the subtractor using a decoder. 76. Write the logic equations for a two-stage look-ahead carry adder in terms of data and carry inputs. 77. Draw the block diagram for a single-cell look-ahead carry adder. Label all inputs and outputs. Describe the function of the look-ahead carry generator. 78. Design a four-bit comparator that propagates its secondary signals from left to right. a) Sketch a block diagram showing the primary inputs, secondary inputs and Outputs. b) Write the minterm list equations for the secondary outputs and the boundary Outputs. c) Simplify the output equations, and draw the resulting logic diagram. E. a) Design a binary full subtractor using minimum number of gates. (6) b) Explain the terms i) Ripple carry propagation ii) Propagation delay

8 iii) Look ahead carry iv) Iterative design. c) Realize F = f(x,y,z) = (1,2,4,5,7) using 8 to 1 multiplexer (74LS151). (4) d) Design a two bit binary magnitude comparator (6) 79. Mention the difference between combinational & sequential circuits with block diagram 80. Difference between Latch & Flip flop give example. 81. Define clocked sequential circuit. 82. Explain the operation of SR flip flop. 83. What is Race round condition. Explain. 84. Explain the operation of JK flip-flop. With logic diagram, characteristic table. 85. Discuss how unstable condition S=R=1 is avoided in storage latch of the following a) D latch b) JK flip flop c) T flip flop 86. Explain clocked RS flip flop with logic diagram. 87. Show that clocked D flip-flop can be reduced by one gate. 88. Explain how D & T flip flop works with logic diagram. 89. Discuss about flip flop excitation table write excitation table for SR, JK flip flops. 90. Design sequential circuit with JK flips flops to satisfy the following state equations. A (t+1) = A 1 B 1 CD+A 1 B 1 C+ACD+AC 1 D 1 B (t+1) =A 1 C+CD 1 +A 1 BC 1 C (t+1) = B, D (t+1) = D a) Explain positive edge triggered D flip-flop. b) Explain negative edge triggered D flip-flop. 92. Explain the operation of Master slave JK flip flop with circuit diagram. Give benefits of it. 93. Discuss why condition S=R=1 leads to unstable condition for SR latch construct state diagram for following table, what is the logic equation for output variable Z. 0 1 A D/1 B/0

9 B D/1 C/0 C D/1 A/0 D B/1 C/0 Examine 7476 Jk flip flop, discuss why PRE1 & CLR1 inputs are refereed to as asynchronous inputs. While JK are called synchronous inputs. E. a) Explain with timing diagram the working of a S R latch as a switch debouncer. (6) b) Explain the working of a Master slave JK Flip-Flop with functional table and timing diagram. Show how race around condition of master-slave SR Flip-Flop is over come. (8) c) What is the significance of edge triggering? Explain the working of edge triggered D-flip-flop and T-flip-flop with their functional table. (6) 94. Mention the capabilities of shift register. 95. Explain universal shift register (74194). 96. Design synchronous BCD counter using JK flip flops. 97. Explain how shift register can be used as counters. 98. Mention the difference between ripple & synchronous counters. 99. Discuss shift registers Discuss state table, state diagram, and state equation with example Discuss the procedure for designing sequential circuits Define counter, & write state diagram for 3-bit binary counter Explain registers Design the binary counters having following repeated binary sequence. Use IC flip flops Only.0, 4,2,1, Clearly distinguish between synchronous & asynchronous circuits, Combinational & sequential ckts, Latch & flip-flop 106. Design BCD counter with JK flip flops 107. Design a counter with following binary sequence a) 0,1,2 b) 0,1,2,3,4 c) 0,1,2,3,4,5, Discuss serial transfer of information from one register to other Give a block diagram of sequential circuit employing register as a part of sequential circuit Give logic diagram of 4 bit bi-directional shift register with parallel capability & briefly explain it s operation.

10 111. Give logic diagram of 4-bit binary ripple counter & BCD Ripple counter 112. Construct mod 6 counter using MSI chip Write the logic diagram of a 4 bit bi-directional shift register with parallel load capability and explain its operations Using logic circuit, truth table and timing diagram explain the operation of a JK Flip-flop.Show excitation table and the characteristics equation Design a counter that has a repeated sequence of SIX states shown in the state diagram. Use JK flip flops Write short notes on: a) JK master slave flip flop b) Synchronous counter E. a) Obtain the characteristic equation for a SR flip-flop (4) b) With a neat circuit diagram, explain the working of a universal shift register. (8) c) Design a synchronous Mod-6 counter clocked JK flip-flop (8) 117. With timing diagram and transition table, explain the operation of 14 bit SISO shift register using D flip flop 118. Explain the structure and operation of Clocked synchronous sequential netwoks What are uses of Transition tables and Excitation tables Write short notes on a) Mealy and Moore Models. b) State Machine notation 121. Define the following terms a) Excitation table b) Next state and present state 122. Draw Mealy and Moore synchronous machine models. Label the excitation variables, state variables, input variables, and output variables in both diagrams 123. Explain why unused states generate don t-care terms when translating a state table to a transition table. Illustrate your response with a sample state table.

11 E. a) Explain Mealy and Moore sequential circuit models. (4) b) For the state machine M1 shown in Fig. obtain i) State table ii) Transition table iii) Exaltation table for T flip-flop iv) Logic circuit for T exaltation realization. (16) (Diagram will be discussed in theory class) 124. Write short notes on a) State Diagram and state table b) Transition table Construct a Mealy state diagram that will detect a serial input sequence of The detection of the required bit pattern can occur in a longer data string and the correct pattern can overlap with another pattern. When the input pattern has been detected, cause an output z to be asserted high. For example, let the input string be X = Z = Design a cyclic modulo-8 synchronous binary counter using J-K flip-flops that will count the number of occurrences of an input; that is, the number of times it is 1. The input variable x must be coincident with the clock to be counted. The counter is to count in binary Construct the state diagram for a Mealy sequential circuit that will detect the serial input sequence x = When the complete sequence has been detected, then cause output z to go high Construct the state diagram for a Mealy sequential machine that will detect the following input sequences: x= or If input sequence x = is met, cause z 1 = 1. If x = 01111, cause z 2 = 1. Each input sequence may overlap with itself or the other sequence Design a Moore sequential machine state diagram that will determine whether a four-bit serial input sequence is a legal BCD code. If a legal BCD code sequence is detected, then z = 1. If an incorrect code is entered, then z = Design a decade counter using a binary state assignment and J-K flip flops such that when two external inputs (x and y) are coincident the counter will increment. A separate clock input provides state transition synchronization.

12 E. a) Construct a mealy state diagram that will detect a serial sequence of When the input pattern has been detected, cause an output Z to be asserted high. (8) b) Design a cyclic modulo-8 synchronous counter using J-K flip flop that will count the number of occurrences of an input; that is the number of times it is a 1. The input variable X must be coincident with the clock to be counted. The counter is to count in binary. (12)

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3 UNIT - I PART A (2 Marks) 1. Using Demorgan s theorem convert the following Boolean expression to an equivalent expression that has only OR and complement operations. Show the function can be implemented

More information

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS

BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS BHARATHIDASAN ENGINEERING COLLEGE Degree / Branch : B.E./ECE Year / Sem : II/ III Sub.Code / Name : EC6302/DIGITAL ELECTRONICS FREQUENTLY ASKED QUESTIONS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES

More information

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY Dept/Sem: II CSE/03 DEPARTMENT OF ECE CS8351 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I BOOLEAN ALGEBRA AND LOGIC GATES PART A 1. How many

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : STLD(16EC402) Year & Sem: II-B.Tech & I-Sem Course & Branch: B.Tech

More information

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS YEAR / SEM: III / V UNIT I NUMBER SYSTEM & BOOLEAN ALGEBRA

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment Assignment 1. What is multiplexer? With logic circuit and function table explain the working of 4 to 1 line multiplexer. 2. Implement following Boolean function using 8: 1 multiplexer. F(A,B,C,D) = (2,3,5,7,8,9,12,13,14,15)

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN B.Tech II Year I Semester () Regular Examinations December 2014 (Common to IT and CSE) (a) If 1010 2 + 10 2 = X 10, then X is ----- Write the first 9 decimal digits in base 3. (c) What is meant by don

More information

Digital logic fundamentals. Question Bank. Unit I

Digital logic fundamentals. Question Bank. Unit I Digital logic fundamentals Question Bank Subject Name : Digital Logic Fundamentals Subject code: CA102T Staff Name: R.Roseline Unit I 1. What is Number system? 2. Define binary logic. 3. Show how negative

More information

www.vidyarthiplus.com Question Paper Code : 31298 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2013. Third Semester Computer Science and Engineering CS 2202/CS 34/EC 1206 A/10144 CS 303/080230012--DIGITAL

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY & COMPUTER SCIENCE AND ENGINEERING QUESTION BANK II SEMESTER CS6201- DIGITAL PRINCIPLE AND SYSTEM DESIGN

More information

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method SET - 1 1. a) Convert the decimal number 250.5 to base 3, base 4 b) Write and prove de-morgan laws c) Implement two input EX-OR gate from 2 to 1 multiplexer (3M) d) Write the demerits of PROM (3M) e) What

More information

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10).

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10). II B. Tech II Semester Regular Examinations, May/June 2015 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, ECE, ECC, EIE.) Time: 3 hours Max. Marks: 70 Note: 1. Question Paper consists of two parts (Part-A

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF INFORMATION TECHNOLOGY QUESTION BANK Academic Year 2018 19 III SEMESTER CS8351-DIGITAL PRINCIPLES AND SYSTEM DESIGN Regulation

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 COMPUTER SCIENCE AND ENGINEERING TUTORIAL QUESTION BANK Name : DIGITAL LOGIC DESISN Code : AEC020 Class : B Tech III Semester

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni-625531 Question Bank for the Units I to V SEMESTER BRANCH SUB CODE 3rd Semester B.E. / B.Tech. Electrical and Electronics Engineering

More information

CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES.

CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES. CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I YEAR/II SEM PART-B UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES. 1) Simplify the boolean function using tabulation method. F = (0, 1, 2, 8, 10, 11, 14, 15) List all

More information

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April SET - 1 II B. Tech II Semester, Supplementary Examinations, April - 2012 SWITCHING THEORY AND LOGIC DESIGN (Electronics and Communications Engineering) Time: 3 hours Max Marks: 80 Answer any FIVE Questions

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500043 Course Name : DIGITAL LOGIC DESISN Course Code : AEC020 Class : B Tech III Semester Branch : CSE Academic Year : 2018 2019

More information

(ii) Simplify and implement the following SOP function using NOR gates:

(ii) Simplify and implement the following SOP function using NOR gates: DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES PART A 1. How can an OR gate be

More information

Question Total Possible Test Score Total 100

Question Total Possible Test Score Total 100 Computer Engineering 2210 Final Name 11 problems, 100 points. Closed books, closed notes, no calculators. You would be wise to read all problems before beginning, note point values and difficulty of problems,

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

R07

R07 www..com www..com SET - 1 II B. Tech I Semester Supplementary Examinations May 2013 SWITCHING THEORY AND LOGIC DESIGN (Com. to EEE, EIE, BME, ECC) Time: 3 hours Max. Marks: 80 Answer any FIVE Questions

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS) SUBJECT NAME: DIGITAL LOGIC CIRCUITS YEAR / SEM : II / III DEPARTMENT : EEE UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 1. What is variable mapping? 2. Name the two canonical forms for Boolean algebra.

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17320 21718 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Figures to the right indicate full marks. (4) Assume suitable data,

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY No part of this publication may be reproduced or distributed in any form or any means, electronic, mechanical, photocopying, or otherwise without the prior permission of the author. GATE SOLVED PAPER Computer

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN SUBJECT: CSE 2.1.6 DIGITAL LOGIC DESIGN CLASS: 2/4 B.Tech., I SEMESTER, A.Y.2017-18 INSTRUCTOR: Sri A.M.K.KANNA

More information

DE Solution Set QP Code : 00904

DE Solution Set QP Code : 00904 DE Solution Set QP Code : 00904 1. Attempt any three of the following: 15 a. Define digital signal. (1M) With respect to digital signal explain the terms digits and bits.(2m) Also discuss active high and

More information

COMBINATIONAL LOGIC CIRCUITS

COMBINATIONAL LOGIC CIRCUITS COMBINATIONAL LOGIC CIRCUITS 4.1 INTRODUCTION The digital system consists of two types of circuits, namely: (i) Combinational circuits and (ii) Sequential circuits A combinational circuit consists of logic

More information

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT COE 202: Digital Logic Design Term 162 (Spring 2017) Instructor: Dr. Abdulaziz Barnawi Class time: U.T.R.: 11:00-11:50AM Class

More information

Written exam for IE1204/5 Digital Design Thursday 29/

Written exam for IE1204/5 Digital Design Thursday 29/ Written exam for IE1204/5 Digital Design Thursday 29/10 2015 9.00-13.00 General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned when

More information

R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai

R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai L T P C R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai- 601206 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC8392 UNIT - I 3 0 0 3 OBJECTIVES: To present the Digital fundamentals, Boolean

More information

Department of Electrical and Computer Engineering University of Wisconsin - Madison. ECE/CS 352 Digital System Fundamentals.

Department of Electrical and Computer Engineering University of Wisconsin - Madison. ECE/CS 352 Digital System Fundamentals. Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE/C 352 Digital ystem Fundamentals Quiz #2 Thursday, March 7, 22, 7:15--8:3PM 1. (15 points) (a) (5 points) NAND, NOR

More information

APPENDIX A SHORT QUESTIONS AND ANSWERS

APPENDIX A SHORT QUESTIONS AND ANSWERS APPENDIX A SHORT QUESTIONS AND ANSWERS Unit I Boolean Algebra and Logic Gates Part - A 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated

More information

Final Examination (Open Katz, asynchronous & test notes only, Calculators OK, 3 hours)

Final Examination (Open Katz, asynchronous & test notes only, Calculators OK, 3 hours) Your Name: UNIVERSITY OF CALIFORNIA AT BERKELEY BERKELEY DAVIS IRVINE LOS ANGELES RIVERSIDE SAN DIEGO SAN FRANCISCO Department of Electrical Engineering and Computer Sciences SANTA BARBARA SANTA CRUZ CS

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey

CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey CHAPTER-2 STRUCTURE OF BOOLEAN FUNCTION USING GATES, K-Map and Quine-McCluskey 2. Introduction Logic gates are connected together to produce a specified output for certain specified combinations of input

More information

Simplification of Boolean Functions

Simplification of Boolean Functions Simplification of Boolean Functions Contents: Why simplification? The Map Method Two, Three, Four and Five variable Maps. Simplification of two, three, four and five variable Boolean function by Map method.

More information

QUESTION BANK FOR TEST

QUESTION BANK FOR TEST CSCI 2121 Computer Organization and Assembly Language PRACTICE QUESTION BANK FOR TEST 1 Note: This represents a sample set. Please study all the topics from the lecture notes. Question 1. Multiple Choice

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

Experiment 3: Logic Simplification

Experiment 3: Logic Simplification Module: Logic Design Name:... University no:.. Group no:. Lab Partner Name: Mr. Mohamed El-Saied Experiment : Logic Simplification Objective: How to implement and verify the operation of the logical functions

More information

Chapter 3 Simplification of Boolean functions

Chapter 3 Simplification of Boolean functions 3.1 Introduction Chapter 3 Simplification of Boolean functions In this chapter, we are going to discuss several methods for simplifying the Boolean function. What is the need for simplifying the Boolean

More information

Switching Theory & Logic Design/Digital Logic Design Question Bank

Switching Theory & Logic Design/Digital Logic Design Question Bank Switching Theory & Logic Design/Digital Logic Design Question Bank UNIT I NUMBER SYSTEMS AND CODES 1. A 12-bit Hamming code word containing 8-bits of data and 4 parity bits is read from memory. What was

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

2008 The McGraw-Hill Companies, Inc. All rights reserved.

2008 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. All or Nothing Gate Boolean Expression: A B = Y Truth Table (ee next slide) or AB = Y 28

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Overview Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, First Semester: 2018/2019 Dept. of Computer Engineering Course Title: Logic Circuits Date: 03/01/2019

More information

Digital Logic Design Exercises. Assignment 1

Digital Logic Design Exercises. Assignment 1 Assignment 1 For Exercises 1-5, match the following numbers with their definition A Number Natural number C Integer number D Negative number E Rational number 1 A unit of an abstract mathematical system

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT 1 BOOLEAN ALGEBRA AND LOGIC GATES Review of binary

More information

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR

DLD VIDYA SAGAR P. potharajuvidyasagar.wordpress.com. Vignana Bharathi Institute of Technology UNIT 3 DLD P VIDYA SAGAR DLD UNIT III Combinational Circuits (CC), Analysis procedure, Design Procedure, Combinational circuit for different code converters and other problems, Binary Adder- Subtractor, Decimal Adder, Binary Multiplier,

More information

Chapter 2 Combinational

Chapter 2 Combinational Computer Engineering 1 (ECE290) Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization HOANG Trang 2008 Pearson Education, Inc. Overview Part 1 Gate Circuits and Boolean Equations Binary Logic

More information

Get Free notes at Module-I One s Complement: Complement all the bits.i.e. makes all 1s as 0s and all 0s as 1s Two s Complement: One s complement+1 SIGNED BINARY NUMBERS Positive integers (including zero)

More information

Combinational Circuits

Combinational Circuits Combinational Circuits Combinational circuit consists of an interconnection of logic gates They react to their inputs and produce their outputs by transforming binary information n input binary variables

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-II COMBINATIONAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-II COMBINATIONAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

ECE380 Digital Logic

ECE380 Digital Logic ECE38 Digital Logic Optimized Implementation of Logic Functions: Strategy for Minimization, Minimum Product-of-Sums Forms, Incompletely Specified Functions Dr. D. J. Jackson Lecture 8- Terminology For

More information

2. (a) Compare the characteristics of a floppy disk and a hard disk. (b) Discuss in detail memory interleaving. [8+7]

2. (a) Compare the characteristics of a floppy disk and a hard disk. (b) Discuss in detail memory interleaving. [8+7] Code No: A109211202 R09 Set No. 2 1. (a) Explain the purpose of the following registers: i. IR ii. PC iii. MDR iv. MAR. (b) Explain with an example the steps in subtraction of two n-digit unsigned numbers.

More information

DIGITAL ELECTRONICS. Vayu Education of India

DIGITAL ELECTRONICS. Vayu Education of India DIGITAL ELECTRONICS ARUN RANA Assistant Professor Department of Electronics & Communication Engineering Doon Valley Institute of Engineering & Technology Karnal, Haryana (An ISO 9001:2008 ) Vayu Education

More information

1. Mark the correct statement(s)

1. Mark the correct statement(s) 1. Mark the correct statement(s) 1.1 A theorem in Boolean algebra: a) Can easily be proved by e.g. logic induction b) Is a logical statement that is assumed to be true, c) Can be contradicted by another

More information

CMPE223/CMSE222 Digital Logic

CMPE223/CMSE222 Digital Logic CMPE223/CMSE222 Digital Logic Optimized Implementation of Logic Functions: Strategy for Minimization, Minimum Product-of-Sums Forms, Incompletely Specified Functions Terminology For a given term, each

More information

IT 201 Digital System Design Module II Notes

IT 201 Digital System Design Module II Notes IT 201 Digital System Design Module II Notes BOOLEAN OPERATIONS AND EXPRESSIONS Variable, complement, and literal are terms used in Boolean algebra. A variable is a symbol used to represent a logical quantity.

More information

2.6 BOOLEAN FUNCTIONS

2.6 BOOLEAN FUNCTIONS 2.6 BOOLEAN FUNCTIONS Binary variables have two values, either 0 or 1. A Boolean function is an expression formed with binary variables, the two binary operators AND and OR, one unary operator NOT, parentheses

More information

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UNIT I - NUMBER SYSTEMS AND LOGIC GATES Introduction to decimal- Binary- Octal- Hexadecimal number systems-inter conversions-bcd code- Excess

More information

UNIT- V COMBINATIONAL LOGIC DESIGN

UNIT- V COMBINATIONAL LOGIC DESIGN UNIT- V COMBINATIONAL LOGIC DESIGN NOTE: This is UNIT-V in JNTUK and UNIT-III and HALF PART OF UNIT-IV in JNTUA SYLLABUS (JNTUK)UNIT-V: Combinational Logic Design: Adders & Subtractors, Ripple Adder, Look

More information

Karnaugh Map (K-Map) Karnaugh Map. Karnaugh Map Examples. Ch. 2.4 Ch. 2.5 Simplification using K-map

Karnaugh Map (K-Map) Karnaugh Map. Karnaugh Map Examples. Ch. 2.4 Ch. 2.5 Simplification using K-map Karnaugh Map (K-Map) Ch. 2.4 Ch. 2.5 Simplification using K-map A graphical map method to simplify Boolean function up to 6 variables A diagram made up of squares Each square represents one minterm (or

More information

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Table of Contents 1. Introduction to Digital Logic 1 1.1 Background 1 1.2 Digital Logic 5 1.3 Verilog 8 2. Basic Logic Gates 9

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

ENGINEERS ACADEMY. 7. Given Boolean theorem. (a) A B A C B C A B A C. (b) AB AC BC AB BC. (c) AB AC BC A B A C B C.

ENGINEERS ACADEMY. 7. Given Boolean theorem. (a) A B A C B C A B A C. (b) AB AC BC AB BC. (c) AB AC BC A B A C B C. Digital Electronics Boolean Function QUESTION BANK. The Boolean equation Y = C + C + C can be simplified to (a) (c) A (B + C) (b) AC (d) C. The Boolean equation Y = (A + B) (A + B) can be simplified to

More information

TWO-LEVEL COMBINATIONAL LOGIC

TWO-LEVEL COMBINATIONAL LOGIC TWO-LEVEL COMBINATIONAL LOGIC OVERVIEW Canonical forms To-level simplification Boolean cubes Karnaugh maps Quine-McClusky (Tabulation) Method Don't care terms Canonical and Standard Forms Minterms and

More information

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad-500 014 Subject: Digital Design Using Verilog Hdl Class : ECE-II Group A (Short Answer Questions) UNIT-I 1 Define verilog HDL? 2 List levels of

More information

Mid-Term Exam Solutions

Mid-Term Exam Solutions CS/EE 26 Digital Computers: Organization and Logical Design Mid-Term Eam Solutions Jon Turner 3/3/3. (6 points) List all the minterms for the epression (B + A)C + AC + BC. Epanding the epression gives

More information

Chapter 4. Combinational Logic

Chapter 4. Combinational Logic Chapter 4. Combinational Logic Tong In Oh 1 4.1 Introduction Combinational logic: Logic gates Output determined from only the present combination of inputs Specified by a set of Boolean functions Sequential

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

Final Exam Solution Sunday, December 15, 10:05-12:05 PM

Final Exam Solution Sunday, December 15, 10:05-12:05 PM Last (family) name: First (given) name: Student I.D. #: Circle section: Kim Hu Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE/CS 352 Digital System Fundamentals

More information

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE

EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE EE 8351 Digital Logic Circuits Ms.J.Jayaudhaya, ASP/EEE 1 Logic circuits for digital systems may be combinational or sequential. A combinational circuit consists of input variables, logic gates, and output

More information

MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s. 2. Why the decimal number system is also called as positional number system?

MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s. 2. Why the decimal number system is also called as positional number system? MGU-BCA-205- Second Sem- Core VI- Fundamentals of Digital Systems- MCQ s Unit-1 Number Systems 1. What does a decimal number represents? A. Quality B. Quantity C. Position D. None of the above 2. Why the

More information

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library 3.1 Combinational Circuits 2 Chap 3. logic circuits for digital systems: combinational vs sequential Combinational Logic Design Combinational Circuit (Chap 3) outputs are determined by the present applied

More information

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15 1.) CLD2 problem 2.2 We are allowed to use AND gates, OR gates, and inverters. Note that all of the Boolean expression are already conveniently expressed in terms of AND's, OR's, and inversions. Thus,

More information

DIGITAL ELECTRONICS. P41l 3 HOURS

DIGITAL ELECTRONICS. P41l 3 HOURS UNIVERSITY OF SWAZILAND FACUL TY OF SCIENCE AND ENGINEERING DEPARTMENT OF PHYSICS MAIN EXAMINATION 2015/16 TITLE OF PAPER: COURSE NUMBER: TIME ALLOWED: INSTRUCTIONS: DIGITAL ELECTRONICS P41l 3 HOURS ANSWER

More information

Computer Organization

Computer Organization Computer Organization (Logic circuits design and minimization) KR Chowdhary Professor & Head Email: kr.chowdhary@gmail.com webpage: krchowdhary.com Department of Computer Science and Engineering MBM Engineering

More information

Combinational Logic with MSI and LSI

Combinational Logic with MSI and LSI 1010101010101010101010101010101010101010101010101010101010101010101010101010101010 1010101010101010101010101010101010101010101010101010101010101010101010101010101010 1010101010101010101010101010101010101010101010101010101010101010101010101010101010

More information

IA Digital Electronics - Supervision I

IA Digital Electronics - Supervision I IA Digital Electronics - Supervision I Nandor Licker Due noon two days before the supervision 1 Overview The goal of this exercise is to design an 8-digit calculator capable of adding

More information

Chapter 2: Combinational Systems

Chapter 2: Combinational Systems Uchechukwu Ofoegbu Chapter 2: Combinational Systems Temple University Adapted from Alan Marcovitz s Introduction to Logic and Computer Design Riddle Four switches can be turned on or off. One is the switch

More information

Specifying logic functions

Specifying logic functions CSE4: Components and Design Techniques for Digital Systems Specifying logic functions Instructor: Mohsen Imani Slides from: Prof.Tajana Simunic and Dr.Pietro Mercati We have seen various concepts: Last

More information

1. Draw general diagram of computer showing different logical components (3)

1. Draw general diagram of computer showing different logical components (3) Tutorial 1 1. Draw general diagram of computer showing different logical components (3) 2. List at least three input devices (1.5) 3. List any three output devices (1.5) 4. Fill the blank cells of the

More information

Reference Sheet for C112 Hardware

Reference Sheet for C112 Hardware Reference Sheet for C112 Hardware 1 Boolean Algebra, Gates and Circuits Autumn 2016 Basic Operators Precedence : (strongest),, + (weakest). AND A B R 0 0 0 0 1 0 1 0 0 1 1 1 OR + A B R 0 0 0 0 1 1 1 0

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 04. Boolean Expression Simplification and Implementation

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 04. Boolean Expression Simplification and Implementation Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 04 Boolean Expression Simplification and Implementation OBJECTIVES: To understand the utilization

More information

ii) Do the following conversions: output is. (a) (101.10) 10 = (?) 2 i) Define X-NOR gate. (b) (10101) 2 = (?) Gray (2) /030832/31034

ii) Do the following conversions: output is. (a) (101.10) 10 = (?) 2 i) Define X-NOR gate. (b) (10101) 2 = (?) Gray (2) /030832/31034 No. of Printed Pages : 4 Roll No.... rd 3 Sem. / ECE Subject : Digital Electronics - I SECTION-A Note: Very Short Answer type questions. Attempt any 15 parts. (15x2=30) Q.1 a) Define analog signal. b)

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 34

Contents. Chapter 3 Combinational Circuits Page 1 of 34 Chapter 3 Combinational Circuits Page of 34 Contents Contents... 3 Combinational Circuits... 2 3. Analysis of Combinational Circuits... 2 3.. Using a Truth Table... 2 3..2 Using a Boolean unction... 4

More information